KR20180093826A - 실리콘 옥사이드의 선택적인 증착 - Google Patents

실리콘 옥사이드의 선택적인 증착 Download PDF

Info

Publication number
KR20180093826A
KR20180093826A KR1020180017704A KR20180017704A KR20180093826A KR 20180093826 A KR20180093826 A KR 20180093826A KR 1020180017704 A KR1020180017704 A KR 1020180017704A KR 20180017704 A KR20180017704 A KR 20180017704A KR 20180093826 A KR20180093826 A KR 20180093826A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
ammonia
silicon oxide
nitrogen
Prior art date
Application number
KR1020180017704A
Other languages
English (en)
Other versions
KR102424906B1 (ko
Inventor
데이비드 찰스 스미스
데니스 엠. 하우스만
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180093826A publication Critical patent/KR20180093826A/ko
Priority to KR1020220089631A priority Critical patent/KR102470304B1/ko
Application granted granted Critical
Publication of KR102424906B1 publication Critical patent/KR102424906B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

실리콘 나이트라이드 표면에 상대적인 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 증착하기 위한 방법들 및 장치들이 본 명세서에 기술된다. 방법들은 암모니아 및/또는 질소 플라즈마를 사용하여 기판 표면을 전처리하는 단계 및 노출된 실리콘 나이트라이드 표면 상에 실리콘 옥사이드를 증착하지 않고 열적 ALD (atomic layer deposition) 반응에서 아미노실란 실리콘 전구체 및 산화제의 교번하는 펄스들을 사용하여 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 증착하는 단계를 수반한다.

Description

실리콘 옥사이드의 선택적인 증착{SELECTIVE DEPOSITION OF SILICON OXIDE}
반도체 디바이스 제조는 마이크로프로세서들, 로직 및 메모리 디바이스들의 제조를 포함한다. 이러한 디바이스들은 셀프-정렬된 패터닝, 예컨대 더블 패터닝 또는 쿼드 패터닝, 갭충진 프로세스들 및 다른 기법들을 포함하여 다양한 기법들을 사용하여 제조될 수도 있다. 일부 프로세스들은 실리콘 옥사이드 및 실리콘 나이트라이드를 포함하는 구조체들의 형성을 수반한다. 이러한 구조체들을 형성하기 위한 종래의 기법들은 에칭 및 증착 모두를 포함하는 패터닝 기법들로 제한될 수도 있다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 노출된 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 증착하는 방법을 수반하고, 방법은: 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 나이트라이드 표면을 갖는 기판을 제공하는 단계로서, 노출된 실리콘 나이트라이드 표면은 1차 아민기들을 포함하는, 기판을 제공하는 단계; 노출된 실리콘 옥사이드 표면에 아미노실란을 흡착시키도록 기판을 아미노실란에 노출하는 단계; 및 기판을 산화제에 노출하는 것을 포함하는 열적 ALD (atomic layer deposition) 반응을 수행하는 단계로서, 열적 ALD 반응은, 선택적으로, 노출된 실리콘 나이트라이드 표면에 상대적으로 노출된 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 형성하는, 열적 ALD 반응을 수행하는 단계를 포함한다.
일부 실시예들에서, 방법은 또한 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계; 및 1차 아민기들을 포함하는 노출된 실리콘 나이트라이드 표면을 형성하도록 처리되지 않은 실리콘 나이트라이드 표면을 암모니아에 노출시키고 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 포함한다. 일부 실시예들에서, 플라즈마는 약 150 W 내지 약 6000 W의 플라즈마 전력을 사용하여 점화된다.
일부 실시예들에서, 방법은 또한 기판을 제공하는 단계, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계 및 1차 아민기들을 포함하는 노출된 실리콘 나이트라이드 표면을 형성하도록 처리되지 않은 실리콘 나이트라이드 표면을 질소 및 암모니아의 혼합물에 노출시키고 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 포함한다. 플라즈마는 약 150 W 내지 약 6000 W의 플라즈마 전력을 사용하여 점화될 수도 있다. 일부 실시예들에서, 질소 및 암모니아의 혼합물 내 암모니아의 양은 약 1 체적 % 미만이다. 일부 실시예들에서, 암모니아 가스 및 질소 가스의 혼합물은 약 0.01 내지 약 0.1의 질소 가스 플로우 레이트에 대한 암모니아 가스 플로우 레이트의 플로우 레이트비를 포함한다. 다양한 실시예들에서, 암모니아 가스 플로우 레이트는 약 10 sccm 내지 약 100 sccm이다.
일부 실시예들에서, 방법은 또한 약 500 ℃보다 높은 증착 온도에서 CVD (chemical vapor deposition) 에 의해 1차 아민기들을 포함하는 노출된 실리콘 나이트라이드 표면을 형성하는 단계를 포함한다.
다양한 실시예들에서, 열적 ALD 반응은 약 25 ℃ 내지 약 400 ℃의 증착 온도에서 수행된다.
다양한 실시예들에서, 열적 ALD 반응 동안, 기판은 실리콘 옥사이드의 선택적인 증착 동안 약 10 mTorr 내지 약 10 Torr의 챔버 압력을 갖는 챔버 내에 하우징된다.
일부 실시예들에서, 기판을 아미노실란 전구체에 노출하는 단계는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 아미노실란 전구체를 흘리는 것을 포함한다.
다양한 실시예들에서, 기판을 산화제에 노출하는 단계는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 산화제를 흘리는 것을 포함한다.
일부 실시예들에서, 아미노실란 전구체는 모노아미노실란, 디아미노실란, 트리아미노실란, 테트라아미노실란 및 이들의 조합들 중 임의의 하나이다.
산화제는 오존, 물, 과산화물, 및 이들의 조합들 중 임의의 것일 수도 있다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 장치는: 기판을 홀딩하기 위한 페데스탈을 포함하는 적어도 하나의 프로세스 챔버; 진공에 커플링하기 위한 적어도 하나의 유출부; 플라즈마 생성기; 하나 이상의 아미노실란 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 질소 함유 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 하나 이상의 산화제 가스 소스들에 커플링된 하나 이상의 프로세스 가스 유입부들; 및 장치의 동작들을 제어하기 위한 제어기로서, 질소 함유 가스를 프로세스 챔버 내로 도입하고 기판 상에 1차 아민기들을 포함하는 노출된 실리콘 나이트라이드 표면을 형성하도록 플라즈마를 점화하고; 기판의 노출된 실리콘 옥사이드 표면에 아미노실란을 흡착시키도록 아미노실란 가스를 도입하고; 그리고 산화제를 도입하여 열적 ALD 반응이, 선택적으로, 노출된 실리콘 나이트라이드 표면에 상대적으로 노출된 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 형성하는 열적 ALD 반응을 수행하기 위한 머신 판독가능 인스트럭션들을 포함하는, 제어기를 포함한다.
일부 실시예들에서, 하나 이상의 질소 함유 소스들은 암모니아 소스 및 질소 가스 소스를 포함하고, 질소 함유 소스는 질소 및 암모니아의 혼합물 내 암모니아 양이 약 1 체적% 미만인 암모니아와 질소의 혼합물을 포함한다.
일부 실시예들에서, 적어도 하나의 프로세스 챔버는 질소 함유 소스 및 플라즈마를 사용하여 기판을 처리하기 위한 제 1 프로세스 챔버 및 실리콘 옥사이드를 형성하기 위해 아미노실란 소스 및 산화제를 도입하기 위한 제 2 프로세스 챔버를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시예들에 따른 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 2a 내지 도 2d는 특정한 개시된 실시예들에 따라 기술된 동작들을 겪는 기판들의 개략적인 예시들이다.
도 3은 특정한 개시된 실시예들에 따른 방법에서 예시적인 사이클들을 도시하는 타이밍 시퀀스도이다.
도 4는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 챔버의 개략적인 도면이다.
도 5는 개시된 실시예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
이하의 기술에서, 다수의 구체적인 상세들이 제시된 실시예들의 전체적인 이해를 제공하도록 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 제조 프로세스들은 종종 실리콘, 실리콘 옥사이드, 및 실리콘 나이트라이드를 포함하는 구조체들의 형성을 수반한다. 예를 들어, 일부 제조 프로세스들은 마이크로프로세서들, 로직들 및/또는 메모리 디바이스들에 대한 구조체들을 형성하기 위한 패터닝 기법들을 수반한다. 예를 들어, 복수의 패터닝 방법들은 광학적 한계들을 넘어 리소그래픽 기술을 확장하기 위해 더블 패터닝 기법 및 쿼드 패터닝 기법을 포함한다. 복수의 패터닝을 위한 기존의 기법들은 패터닝 기법들을 위한 마스크로서 스페이서들을 형성하기 위한 실리콘 옥사이드와 같은 재료의 증착 및 에칭을 수반할 수도 있다. 그러나, 이러한 구조체들을 형성하기 위한 현재 기법들은 종종 증착, 이어서 선택적인 에칭 기법들을 수반한다.
유사하게, 실리콘 옥사이드의 갭 충진을 포함하는 셀프-정렬된 콘택트들 및 구조체들을 형성하기 위한 프로세스들은 기판의 타입에 선택적이지 않은 증착 기법들을 수반한다. 일부 현재 증착 방법들은 기껏해야 컨포멀한 증착 프로세스, 또는 기판 상의 소형 피처들에 보이드 형성을 야기하는 프로세스를 발생시킨다.
노출된 실리콘 나이트라이드 표면의 존재시 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 증착하는 방법들이 본 명세서에 제공된다. 증착 기법들은, 실리콘 옥사이드를 형성하기 위한 특정한 실리콘 함유 전구체들 및 반응물질들에 대한 실리콘 나이트라이드 대 실리콘 옥사이드 표면의 반응성을 조절함으로써 실리콘 나이트라이드에 상대적으로 실리콘 옥사이드를 증착하는 것에 선택적이다. 본 명세서 기술된 기법들은 열적 ALD (atomic layer deposition) 를 수반한다. 즉, 다양한 실시예들에서, 실리콘 옥사이드를 형성하기 위해 실리콘 함유 전구체와 산화제 간 반응이 플라즈마를 점화하지 않고 수행된다.
ALD는 순차적인 자기 제한 반응들을 사용하여 재료의 박층들을 증착하는 기법이다. 통상적으로, ALD 사이클은 기판 표면으로 적어도 하나의 반응물질을 전달하고 흡착시키고, 이어서 부분적인 막층을 형성하도록 하나 이상의 반응물질들과 흡착된 반응물질을 반응시키는 동작들을 포함한다. 예로서, 실리콘 옥사이드 증착 사이클은 다음의 동작들: (i) 실리콘 함유 전구체의 전달/흡착, (ii) 챔버로부터 실리콘 전구체의 퍼지, (iii) 산소 함유 반응물질 또는 산소 함유 가스의 전달, 및 (iv) 챔버로부터 산소 함유 반응물질의 퍼지를 포함할 수도 있다.
CVD (chemical vapor deposition) 기법과 달리, ALD 프로세스들은 층 단위 (layer-by-layer basis) 로 막들을 증착하기 위해 표면 매개 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은, 기판을 하우징하는 챔버에 제공된 도즈 내의 실리콘 함유 전구체와 같은 제 1 전구체의 가스 상 분포에 노출된다. 이 제 1 전구체의 분자들은 기판 표면 상에 흡착되고, 제 1 전구체의 화학흡착 종 및/또는 물리흡착 분자들을 포함한다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다는 것을 이해해야 한다. 예를 들어, 실리콘 함유 전구체의 흡착된 층은 실리콘 함유 전구체뿐만 아니라 실리콘 함유 전구체의 유도체들을 포함할 수도 있다. 제 1 전구체 도즈 후에, 이어서 챔버는 주로 또는 흡착된 종만 남도록 가스 상으로 남아 있는 제 1 전구체의 대부분 또는 모두를 제거하도록 배기된다. 일부 구현예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 챔버는 가스 상의 제 1 전구체의 분압이 반응을 완화시키게 충분히 낮도록 배기될 수도 있다. 제 2 반응물질, 예컨대 산소 함유 반응물질이 챔버로 도입되어 제 2 반응물질의 분자들의 일부가 표면 상에 흡착된 제 1 전구체와 반응한다. 일부 프로세스들에서, 제 2 반응물질은 흡착된 제 1 전구체와 즉시 반응한다. 이어서 챔버는 결합되지 않은 제 2 반응물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하기 위해 사용될 수도 있다.
특정한 실시예들에서, ALD 제 1 전구체 도즈는 기판 표면을 부분적으로 포화시킨다. 일부 실시예들에서, ALD 사이클의 도즈 페이즈는 표면을 고르게 포화시키기 위해 전구체가 기판과 콘택트하기 전에 종료된다. 통상적으로, 전구체 플로우는 이때 턴오프되거나 방향이 바뀌고, 퍼지 가스만 흐른다. 이 반-포화 (sub-saturation) 레짐에서 동작함으로써, ALD 프로세스는 사이클 시간을 감소시키고 쓰루풋을 증가시킨다. 그러나, 전구체 흡착이 포화 제한되지 않기 때문에, 흡착된 전구체 농도는 기판 표면에 걸쳐 약간씩 가변할 수도 있다. 반-포화 레짐에서 동작하는 ALD 프로세스들의 예들은 전체가 본 명세서에 참조로서 인용된, 2013년 10월 23일 출원된 명칭이 "SUB-SATURATED ALD AND CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 14/061,587 호 (현재 미국 특허 제 9,355,839 호) 에 제공된다.
기술된 바와 같이, 일부 구현예들에서, ALD 방법들은 플라즈마 활성화를 포함한다. 본 명세서에 기술된 바와 같이, 본 명세서에 기술된 ALD 방법들 및 장치들은 CFD (conformal film deposition) 방법들일 수도 있고, 이는 각각 전체가 본 명세서에 참조로서 인용된, 명칭이 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 대체적으로 기술된다.
도 1은 특정한 개시된 실시예들에 따라 수행된 방법의 예시적인 동작들을 도시하는 프로세스 흐름도를 제공한다. 동작 101에서, 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 나이트라이드 표면을 갖는 기판이 프로세스 챔버로 제공된다. 프로세스 챔버는 약 10 mTorr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 3 Torr의 챔버 압력으로 설정될 수도 있다. 이러한 챔버 압력들은 본 명세서에 기술된 바와 같이 동작들 102 내지 114 내내 사용될 수도 있다. 기판은 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 기판 온도로 가열될 수도 있다. 본 명세서에 사용된 바와 같은 기판 온도는 기판을 홀딩하는 페데스탈이 설정된 온도를 지칭하고, 일부 실시예들에서, 프로세스 챔버에 제공될 때 페데스탈 상의 기판은 기판을 프로세싱하기 전에 목표된 기판 온도로 가열될 수도 있다는 것이 이해될 것이다. 기판 온도는 본 명세서에 기술된 바와 같이 동작들 102 내지 114 내내 동일할 수도 있다.
기판은, 실리콘 웨이퍼, 예를 들어, 재료의 하나 이상의 층들, 예컨대 유전체, 도전성 또는 반도전성 재료가 상부에 증착된 웨이퍼들을 포함하여 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 하부 층들의 비제한적인 예들은 유전체층들 및 도전층들, 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들, 및 금속 층들을 포함한다. 일부 실시예들에서, 기판은 도 2a에 도시된 바와 같이, 실리콘 옥사이드 및 실리콘 나이트라이드를 포함한다. 도 2a는 노출된 실리콘 옥사이드 표면 (203) 및 노출된 실리콘 나이트라이드 표면들 (202) 을 갖는 기판 (200) 을 도시한다. 실리콘 옥사이드 표면은 주변 공기 (H2O 및 O2) 로부터 또는 H2O 내 1 %의 HF (hydrofluoric acid) 와 같은 약한 에천트로부터 형성될 수도 있는 하이드록실 말단기들을 포함한다. 실리콘 옥사이드 상의 하이드록실 말단기들은 또한 실리콘 옥사이드 (203) 재료를 형성하도록 사용된 기법으로 인해 형성될 수도 있다. 실리콘 나이트라이드 표면들 (202) 은 질소 원자들이 인접한 질소 원자에 결합되는, Si-NH 다이머들을 포함한다. 이러한 표면은 실리콘 나이트라이드 재료가 500 ℃ 미만과 같은 저온에서 CVD (chemical vapor deposition) 를 사용하여 증착된다면 형성될 수도 있다. 그러나, 이러한 표면들은 실리콘 옥사이드를 증착하기 위해 사용된 실리콘 함유 전구체들과의 반응에 민감할 수도 있다.
따라서, 도 1을 다시 참조하면, 동작 104에서, 선택가능하게 기판이 암모니아 및/또는 질소 플라즈마에 노출된다. 도 2b에 도시된 바와 같이, 기판이 암모니아 및/또는 질소 플라즈마에 노출될 때, Si-NH 다이머들은 단일 - NHx 기들을 포함하는 1차 아민기들로 변환되고, 이는 특정한 개시된 실시예들에 사용된 실리콘 함유 전구체들과의 반응에 민감하지 않다. 본 명세서에 참조된 바와 같은 1차 아민기들은 질소가 실리콘 및 수소에 결합된 원자들의 기들로 규정되고 질소 원자는 또 다른 질소 원자에 결합되지 않는다. 실리콘 나이트라이드 기판의 표면 상의 1차 아민기는 Si-NH2 구조를 가질 수도 있다. 이러한 기들은 실리콘 나이트라이드의 표면 상의 Si-N 결합이 실리콘 함유 전구체의 Si-N 결합과 열역학적으로 동일하거나 적어도 유사하기 때문에 본 명세서에 기술된 바와 같이 실리콘 함유 전구체들과의 반응에 민감하지 않다. 이는 실리콘 나이트라이드 표면 (202) 이 실리콘 함유 전구체와 반응하는 것을 방지하여, 이 표면 위에 실리콘 옥사이드의 증착을 방지한다.
도 1의 동작 104는 실리콘 옥사이드 및 실리콘 나이트라이드를 갖는 기판이 표면 상에 단일 - NHx 기들을 갖는 실리콘 나이트라이드 표면들을 이미 갖는다면 선택가능하다. 예를 들어, 실리콘 나이트라이드가 500 ℃보다 고온에서 CVD를 사용하여 이전에 증착되었다면, 이 기법 및 이들 고온들을 사용하여 증착된 실리콘 나이트라이드가 도 2a에 도시된 바와 같은 다이머들을 형성하는 것보다 실리콘 나이트라이드 재료의 표면 상에 단일 NH 기들을 산출하기 보다 쉽기 때문에, 동작 104는 선택가능하다.
동작 104가 수행되는 실시예들에 대해, 기판은 약 1 초 내지 약 10 초의 지속기간 동안 암모니아 및/또는 질소 플라즈마에 노출될 수도 있다. 동작 104는 약 25 ℃ 내지 약 400 ℃, 또는 약 200 ℃ 내지 약 300 ℃의 기판 온도에서 수행될 수도 있다. 본 명세서에서 사용될 때 기판 온도는 기판을 홀딩하는 페데스탈이 설정되는 온도를 지칭하고, 일부 실시예들에서, 프로세스 챔버에 제공될 때 페데스탈 상의 기판은 기판을 프로세싱하기 전에 목표된 기판 온도로 가열될 수도 있다는 것이 이해될 것이다. 동작 104 동안 기판 온도는 도 1에 대해 본 명세서에 기술된 다른 동작들 동안 동일할 수도 있다.
동작 104 동안 챔버 압력은 약 10 mTorr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 3 Torr일 수도 있다. 챔버 압력은 도 1에 대해 본 명세서에 기술된 다른 동작들 동안 동일할 수도 있다.
다양한 실시예들에서, 동작 104는 암모니아 플라즈마에만 노출되는 것을 수반할 수도 있다. 예를 들어, 암모니아 가스가 프로세스 챔버로 전달될 수도 있고 플라즈마가 점화될 수도 있다.
실리콘 나이트라이드의 표면 상에 단일 아민기들을 형성하기 위해 실리콘 나이트라이드 표면을 준비하도록 플라즈마 에너지가 제공될 수도 있다. 플라즈마 에너지는 단일 아민기들을 형성하기 위해 실리콘 나이트라이드 아민 다이머들과 반응하는, 이온들 및 라디칼들 그리고 다른 활성화된 종으로 암모니아를 활성화하도록 제공될 수도 있다. 다양한 실시예들에서, 플라즈마는, 플라즈마가 챔버 내에서 기판 표면 바로 위에 형성되도록, 인시츄 플라즈마이다. 인시츄 플라즈마는 약 0.2122 W/㎠ 내지 약 2.122 W/㎠의 기판 면적 당 전력에서 점화될 수도 있다. 예를 들어, 전력은 4 개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버들에 대해 약 150 W 내지 약 6000 W, 또는 약 600 W 내지 약 6000 W, 또는 약 800 W 내지 약 4000 W의 범위일 수도 있다. 예를 들어, 플라즈마들은 2 개의 용량 커플링된 플레이트들을 사용하여 가스에 RF (radio frequency) 필드를 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 간 가스의 이온화는 플라즈마를 점화하고, 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 전자들은 RF 필드에 의해 가속화되고 가스 상 반응물질 분자들과 충돌할 수도 있다. 반응물질 분자들과 이들 전자들의 충돌은 증착 프로세스에 관여하는 라디칼 종을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 다양한 실시예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파 기반 플라즈마가 사용될 수도 있다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. 플라즈마들이 RF 필드의 가스로의 용량 커플링대신 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 플라즈마는, 제 2 반응물질이 챔버의 리모트 플라즈마 생성기 업스트림에서 점화되고, 이어서 기판이 하우징되는 챔버로 전달되도록 리모트 플라즈마이다. 암모니아 가스는 약 100 sccm 내지 약 10000 sccm, 또는 약 5000 sccm 내지 약 7500 sccm의 플로우 레이트로 프로세스 챔버로 전달될 수도 있다. 일부 실시예들에서, 암모니아 플라즈마는 인시츄로 생성될 수도 있다. 일부 실시예들에서, 암모니아 플라즈마는 리모트 플라즈마 생성기에서 생성될 수도 있다.
다양한 실시예들에서, 동작 104는 암모니아 및 질소 가스의 혼합물로부터 생성된 플라즈마로의 노출을 수반할 수도 있다. 여기서, 플라즈마는 또한 상기 기술된 바와 같이 인시츄 플라즈마이거나 리모트 플라즈마일 수도 있다. 예를 들어, 암모니아 가스 및 질소 가스는 혼합물로서 함께 또는 별도로 프로세스 챔버로 전달될 수도 있고, 이에 따라 가스들이 혼합될 수도 있고, 이어서 플라즈마가 점화될 수도 있다. 인시츄 플라즈마는 약 0.2122 W/㎠ 내지 약 2.122 W/㎠의 기판 면적 당 전력에서 점화될 수도 있다. 예를 들어, 전력은 4 개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버들에 대해 약 150 W 내지 약 6000 W, 또는 약 600 W 내지 약 6000 W, 또는 약 800 W 내지 약 4000 W의 범위일 수도 있다. 다양한 실시예들에서, 적어도 약 13.56 ㎒, 또는 적어도 약 27 ㎒, 또는 적어도 약 40 ㎒, 또는 적어도 약 60 ㎒의 주파수를 갖는 고 주파수 플라즈마가 사용된다. 일부 실시예들에서, 마이크로파 기반 플라즈마가 사용될 수도 있다.
플라즈마 소스로 전달된 암모니아 가스 및 질소 가스의 혼합물은 가변할 수도 있다. 예를 들어, 질소 가스에 대한 암모니아의 플로우 레이트 비는 약 0.01 내지 약 0.1일 수도 있다. 암모니아 가스는 약 10 sccm 내지 약 100 sccm의 플로우 레이트로 프로세스 챔버로 전달될 수도 있다. 질소 가스는 약 100 sccm 내지 약 10000 sccm의 플로우 레이트로 프로세스 챔버로 전달될 수도 있다. 일부 실시예들에서, 암모니아 가스 및 질소 가스의 혼합물은 약 1 체적% 미만의 암모니아를 포함한다.
일부 실시예들에서, 캐리어 가스가 동작 104 동안 흐를 수도 있다. 캐리어 가스는 헬륨, 아르곤, 네온 및 이들의 조합들과 같은 불활성 가스일 수도 있다. 캐리어 가스는 암모니아 및/또는 질소 가스를 프로세스 챔버로 전달하기 위해 캐리어 가스가 사용되도록 방향전환 (divert) 될 수도 있다. 일부 실시예들에서, 캐리어 가스는 프로세스 챔버의 압력 및/또는 온도 제어를 돕도록 제공될 수도 있다. 일부 실시예들에서, 캐리어 가스는 프로세스 챔버로 보다 신속한 가스의 전달을 보장하도록 사용된다.
동작 106에서, 기판 표면 상에 흡착하도록 아미노실란에 기판이 노출된다. 본 명세서에 참조된 아미노실란들은 비스(터트부틸)아미노실란과 같은 아미노실란들 및 트리실릴아민과 같은 실릴아민들을 포함한다. 일부 실시예들에서, 아미노실란 분자들은 실리콘 옥사이드 표면 및 실리콘 나이트라이드 표면 모두에 흡착할 수도 있지만, 동작 110에 대해 이하에 기술된 바와 같이, 실리콘 옥사이드는 실리콘 나이트라이드 표면 상이 아니라 실리콘 옥사이드 표면 상에 선택적으로 형성된다. 다양한 실시예들에서, 동작들 108 및 112에 대해 이하에 기술된 바와 같이 후속하는 퍼지 동작들은 실리콘 나이트라이드 표면으로부터 흡착된 아미노실란을 제거할 수도 있다.
일부 실시예들에서, 기판의 표면 상의 흡착은 기판의 표면 상에 아미노실란의 박층을 형성할 수도 있다. 박층은 모노레이어보다 얇을 수도 있고, 약 0.2 Å 내지 약 0.4 Å의 두께를 가질 수도 있다.
동작 106 동안, 불활성 가스가 흐를 수도 있다. 불활성 가스는 동작 104에 대해 상기 열거된 것과 같은 임의의 불활성 가스일 수도 있다. 불활성 가스는 프로세스 챔버의 압력 및/또는 온도 제어, 액체 반응물질의 기화, 반응물질의 보다 신속한 전달을 돕도록 그리고/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙으로부터 프로세스 가스들을 제거하기 위한 스윕핑 가스로서 제공될 수도 있다.
동작 106에서 사용된 아미노실란은 다음과 같은 화학식을 갖는다:
Figure pat00001
여기서 x는 1 내지 3 이하의 정수이고, x + y = 4이고, R1 및 R2 각각은 수소 또는 알킬 리간드이다. 예를 들어, 일부 실시예들에서, 아미노실란은 모노아미노실란이고, 다음의 화학 구조를 갖는다:
Figure pat00002
R1 및 R2 각각은 수소 또는 알킬 리간드이다.
일부 실시예들에서, 아미노실란은 모노아미노실란, 디아미노실란, 트리아미노실란, 테트라아미노실란 및 이들의 조합들 중 임의의 것일 수도 있다. 이들 예들에 대한 화학 구조는 다음과 같다:
Figure pat00003
상기 주지된 바와 같이, R1 및 R2은 임의의 알킬 리간드일 수도 있다. 일 예에서, 아미노실란은 다음의 구조를 갖는, N'N'-디메틸실란디아민일 수도 있다:
Figure pat00004
TEOS (tetraethyl orthosilicate) 와 같은 실리콘 알콕사이드들, 실리콘 할라이드들, 및 실란 할라이드들, 및 실란 (SiH4) 은 이들 전구체들이 실리콘 나이트라이드 막과 반응하기 쉽고 따라서 실리콘 나이트라이드의 존재시 실리콘 옥사이드 상에 실리콘 옥사이드를 선택적으로 증착할 수 없을 수도 있기 때문에 개시된 실시예들에 따라 실리콘 옥사이드를 증착하기 위한 실리콘 전구체로서 사용되지 않는다.
본 명세서에 사용된 바와 같은 아미노실란들을 사용한 Si-O 결합의 형성은 아미노실란 내에 존재하는 Si-N 결합을 사용하여 열역학적으로 유리하다. 또한, 아미노실란 내 Si-N 결합이 기판 상에 존재하는 실리콘 나이트라이드 막의 표면 상의 Si-N 결합과 등가의 결합이기 때문에, 아미노실란과 실리콘 나이트라이드 막과 반응시킬 구동력이 없어서, 실리콘 나이트라이드 막 상에서 실리콘 옥사이드의 형성을 방지한다.
동작 108에서, 프로세스 챔버는 기판 표면 상에 흡착하지 않는 아미노실란을 제거하기 위해 선택가능하게 퍼지된다. 챔버를 퍼지하는 것은 다른 동작들에서 사용된 캐리어 가스일 수도 있고 또는 상이한 가스일 수도 있는, 퍼지 가스 또는 스윕핑 가스를 흘리는 것을 수반할 수도 있다. 일부 실시예들에서, 퍼지는 챔버를 배기하는 것을 수반할 수도 있다. 예시적인 퍼지 가스들은 아르곤, 질소, 수소 및 헬륨을 포함한다. 일부 실시예들에서, 동작 108은 프로세스 챔버를 배기하기 위해 하나 이상의 배기 서브페이즈를 포함할 도 있다. 대안적으로, 동작 108은 일부 실시예들에서 생략될 수도 있다는 것이 이해될 것이다. 동작 108은 약 0 초 내지 약 60 초, 예를 들어, 약 0.01 초와 같은 임의의 적합한 지속기간을 가질 수도 있다. 일부 실시예들에서, 하나 이상의 퍼지 가스들의 플로우 레이트를 상승시키는 것은 동작 108의 지속기간을 감소시킬 수도 있다. 예를 들어, 퍼지 가스 플로우 레이트는 동작 108의 지속기간을 조절하기 위해 다양한 반응물질 열역학 특성들 및/또는 프로세스 챔버 및/또는 프로세스 챔버 플럼빙의 기하학적 특성들에 따라 조정될 수도 있다. 일 비제한적인 예에서, 퍼지 페이즈의 지속기간은 퍼지 가스 플로우 레이트를 조절함으로써 조정될 수도 있다. 이는 증착 사이클 시간을 감소시킬 수도 있고, 이는 기판 쓰루풋을 개선할 수도 있다. 퍼지 후에, 아미노실란 분자들이 기판 표면 상에 흡착된 채로 남는다. 일부 실시예들에서, 아미노실란 전구체는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버로 흐른다.
동작 110에서, 기판은 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 형성하도록 플라즈마를 사용하지 않고 산화제에 노출된다. 일부 실시예들에서, 산화제가 기판에 제공될 때, 흡착된 전구체는 실리콘 옥사이드 표면의 표면 상에 실리콘 옥사이드를 형성하도록 산화제와 반응한다. 반대로, 아미노실란과 동일한 실리콘-질소 결합을 갖는 실리콘 나이트라이드 표면은 전혀 반응하지 않거나 신속하게 반응하여, 선택적인 증착이 달성된다. 도 2c는 도 2b로부터 기판의 예를 도시하여, 모노아미노실란이 도 2b에 도시된 바와 같은 실라놀 말단기들을 나타내는, 실리콘 옥사이드 표면의 표면과 반응한다. 실라놀 말단기들과 모노아미노실란 간의 반응은 Si-O-Si 결합을 형성하는데 열역학적으로 유리하고, 따라서 산화제와의 반응은 도 2d에 도시된 바와 같이, 실리콘 옥사이드를 형성하고, 이는 또한 실라놀 말단기들을 형성한다. 이러한 말단기들은 이어서 이하에 더 기술된 바와 같이 후속 증착 사이클들의 추가 증착을 겪을 수도 있다.
도 1을 다시 참조하면, 동작 110에서, 산화제는 다음의 가스들 중 하나 이상일 수도 있다. 아미노실란과 산화제 간의 반응은 플라즈마가 반응을 구동할 필요가 없도록 열적 반응이다. 따라서, 산소 및 아산화질소는, 아미노실란 및 산소 또는 아산화질소를 사용하여 실리콘 옥사이드를 형성하기 위한 반응들이 플라즈마를 점화하는 것을 수반하기 때문에, 개시된 실시예들에서 산화제들로서 사용되지 않는다. 일부 실시예들에서, 산화제는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 기판을 하우징하는 챔버 내로 흐른다.
동작 112에서, 챔버는 임의의 잔여 부산물들을 제거하도록 선택가능하게 퍼지된다. 동작 112는 동작 108에 대해 상기에 기술된 임의의 조건들을 사용하여 퍼지될 수도 있다.
동작 114에서, 막의 목표된 두께의 막이 증착되었는지 여부가 결정된다. 그렇지 않다면, 동작들 106 내지 112가 실리콘 나이트라이드에 상대적으로 실리콘 옥사이드 상에 목표된 두께의 실리콘 옥사이드 막을 증착하기 충분한 사이클들로 반복된다. 임의의 적합한 수의 증착 사이클들이 목표된 막 두께의 실리콘 옥사이드를 증착하기 위해 ALD 프로세스에 포함될 수도 있다. 예를 들어, 개시된 실시예들을 사용하여 기판 상에 막을 증착하기 위해 약 50 번의 증착 사이클들이 수행될 수도 있다.
도 3은 개시된 실시예들에 따른 예시적인 펄스들의 타이밍 시퀀스도이다. 도 3은 암모니아 및/또는 질소 가스 플로우, 캐리어 가스 또는 퍼지 가스 플로우, 아미노실란 전구체 플로우, 산화제 플로우, 및 플라즈마 상태와 같은 다양한 프로세스 파라미터들에 대한 예시적인 ALD 프로세스 (300) 의 페이즈들을 도시한다. 라인들은 플로우가 턴온되고 턴오프될 때 그리고 플라즈마가 턴온되고 턴오프될 때를 나타낸다. 다양한 개시된 실시예들은 이로 제한되는 것은 아니지만, 불활성 및 반응물질 종에 대한 플로우 레이트들, 질소 및/또는 암모니아 전처리 가스들에 대한 플로우 레이트들, 전처리 동안 플라즈마 조건들, 기판 온도, 및 프로세스 챔버 압력을 포함한다.
프로세스 (300) 는 2 번의 증착 사이클들: 증착 사이클 (310A) 및 증착 사이클 (310B) 을 포함한다. 증착 사이클 (310A) 은 암모니아/질소 (NH3/N2) 플로우가 턴온되고 플라즈마가 온되는 실리콘 나이트라이드 준비 페이즈 (301A) 를 포함한다. 아미노실란 전구체 및 산화제 플로우들이 턴오프되는 동안, 캐리어 가스 플로우는 또한 턴온될 수도 있다. 이 페이즈는 도 1의 동작 104에 대응할 수도 있다. 실리콘 나이트라이드 표면 준비 페이즈 (301A) 에 이어서, 증착 사이클 (310A) 은 캐리어 가스가 계속해서 흐르고, 아미노실란 전구체 가스 플로우가 턴온되고, 산화제 플로우는 오프로 남고, 플라즈마가 턴오프되고, NH3/N2 가스 플로우는 턴오프되는, 아미노실란 전구체 노출 페이즈 (357A) 를 포함한다. 이 페이즈는 도 1의 동작 106에 대응할 수도 있다. 퍼지 페이즈 (359A) 동안, 캐리어 가스 플로우는 가스 상으로 남아 있는 과잉 아미노실란 전구체들을 퍼지하기 위해 계속해서 흐르는 한편, 아미노실란 전구체, 산화제, 및 NH3/N2 플로우들이 턴오프되고 플라즈마가 턴오프된다. 이는 도 1의 동작 108에 대응할 수도 있다. 산화제 노출 페이즈 (361A) 에서, 캐리어 가스가 흐르고 산화제 플로우는 턴온되는 한편, 아미노실란 전구체 플로우 및 NH3/N2 플로우는 턴오프된다. 유사하게 플라즈마가 이 페이즈 동안 턴오프된다. 이 페이즈는 도 1의 동작 110에 대응할 수도 있다. 퍼지 페이즈 (363A) 는 아미노실란 전구체 플로우, 산화제 플로우, 및 NH3/N2 플로우가 턴오프되고 플라즈마가 턴오프되는 동안 캐리어 가스 플로우를 포함한다. 이는 도 1의 동작 112에 대응할 수도 있다. 증착 사이클 (310A) 은, 아미노실란 전구체 노출 페이즈 (357A), 퍼지 페이즈 (359A), 산화제 노출 페이즈 (361A), 및 퍼지 페이즈 (363A) 각각과 동일한 가스 플로우들 및 플라즈마 상태를 각각 수반할 수도 있는, 아미노실란 전구체 노출 페이즈 (357B), 퍼지 페이즈 (359B), 산화제 노출 페이즈 (361B) 및 퍼지 페이즈 (363B) 를 포함하는 증착 사이클 (310B) 로 반복된다.
장치
도 4는 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (402) 를 갖는 ALD 프로세스 스테이션 (400) 의 실시예의 개략적 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (400) 은 공통 저압 프로세스 툴 분위기 내에 포함될 수도 있다. 예를 들어, 도 5는 멀티-스테이션 프로세싱 툴 (500) 의 실시예를 도시한다. 일부 실시예들에서, 이하에 상세히 논의된 것들을 포함하는 ALD 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 조정될 수도 있다.
ALD 프로세스 스테이션 (400) 은 분배 샤워헤드 (406) 로 프로세스 가스들을 전달하기 위한 반응 물질 전달 시스템 (401a) 과 유체적으로 통신한다. 반응 물질 전달 시스템 (401a) 은 샤워헤드 (406) 로의 전달을 위해 프로세스 가스들, 예컨대 아미노실란 전구체 가스, 또는 산화제 가스 (예를 들어, 오존), 또는 암모니아 및.또는 질소 가스를 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 용기 (804) 을 포함한다. 하나 이상의 혼합 용기 유입부 밸브들 (420) 이 혼합 용기 (404) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 질소 플라즈마 및/또는 암모니아 플라즈마가 또한 샤워헤드 (406) 로 전달될 수도 있고 또는 ALD 프로세스 스테이션 (400) 내에서 생성될 수도 있다.
예로서, 도 4의 실시예는 혼합 용기 (404) 로 공급될 액체 반응 물질을 기화하기 위한 기화 지점 (403) 을 포함한다. 일부 실시예들에서, 기화 지점 (403) 은 가열된 기화기일 수도 있다. 이러한 증발기들로부터 생산된 포화된 반응 물질 증기는 다운스트림 전달 파이프에서 응결될 수도 있다. 양립 가능하지 않은 가스들의 응결된 반응 물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 지연시키고, 기판을 오염시키는 등을 할 수도 있다. 이러한 문제들을 해결하기 위한 일부 방법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 포함할 수도 있다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 상승시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (403) 의 전달 파이프 다운스트림은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (404) 가 또한 열 추적될 수도 있다. 일 비제한적 예에서, 기화 지점 (403) 의 파이프 다운스트림은 혼합 용기 (404) 에서 대략 100 ℃에서 대략 150 ℃로 연장하는 상승하는 온도 프로파일을 갖는다.
일부 실시예들에서, 액체 전구체 또는 액체 반응물질이 액체 주입기에서 기화될 수도 있다. 예를 들어, 이러한 액체 주입기는 혼합 용기의 업스트림에서 캐리어 가스 스트림에 액체 반응 물질의 펄스들을 주입할 수도 있다. 일 실시예에서, 액체 주입기는 고압에서 저압으로 액체를 플래싱 (flashing) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 증기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (403) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (404) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (406) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (403) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 스테이션 (400) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 수행될 수도 있다.
샤워헤드 (406) 는 프로세스 가스들을 기판 (412) 을 향해 분배한다. 도 4에 도시된 실시예에서, 기판 (412) 은 샤워헤드 (406) 밑에 위치되고 페데스탈 (408) 상에 놓인 것으로 도시된다. 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 을 기판 (412) 과 샤워헤드 (406) 사이의 볼륨에 노출하도록 상승되거나 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (450) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (408) 의 높이를 조정하는 것은 플라즈마가 점화되는 실시예들의 프로세스에서 플라즈마 밀도로 하여금 플라즈마 활성화 사이클들 동안 가변하게 할 수도 있다. 프로세스 페이즈의 종료 시, 페데스탈 (408) 은 또 다른 기판 이송 페이즈 동안 페데스탈 (408) 로부터 기판 (412) 의 제거를 허용하도록 하강될 수도 있다.
일부 실시예들에서, 페데스탈 (408) 은 히터 (410) 를 통해 온도 제어될 수도 있다. 일부 실시예들에서, 페데스탈 (408) 은 개시된 실시예들에 기술된 바와 같이 실리콘 나이트라이드 막들의 증착 동안 적어도 약 250 ℃, 또는 일부 실시예들에서, 약 300 ℃ 미만, 예컨대 약 250 ℃의 온도로 가열될 수도 있다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도, 예컨대 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 50 ℃ 내지 약 300 ℃의 온도로 설정된다. 일부 실시예들에서, 페데스탈은 약 200 ℃ 내지 약 275 ℃의 온도로 설정된다.
또한, 일부 실시예들에서, 프로세싱 스테이션 (400) 을 위한 압력 제어가 버터플라이 밸브 (418) 에 의해 제공될 수도 있다. 도 4의 실시예에 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세싱 스테이션 (400) 의 압력 제어는 또한 프로세싱 스테이션 (400) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
일부 실시예들에서, 샤워헤드 (406) 의 위치는 기판 (412) 과 샤워헤드 (406) 사이의 체적을 변화시키도록 페데스탈 (408) 에 상대적으로 조정될 수도 있다. 또한, 페데스탈 (408) 및/또는 샤워헤드 (406) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
플라즈마가 상기 논의된 바와 같이 사용될 수도 있는 일부 실시예들에서, 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디칼 종들을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 약 150 W 내지 약 6000 W이다. 플라즈마는 실리콘 나이트라이드에 상대적으로 실리콘 옥사이드 상의 실리콘 옥사이드의 선택적인 증착 전에 실리콘 나이트라이드 표면의 처리 동안 사용될 수도 있다. RF 전력 공급부 (414) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (414) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 큰, 또는 27 ㎒보다 큰, 또는 40 ㎒보다 큰, 또는 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들이 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 암모니아 및/또는 질소 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2 레시피 페이즈는 불활성 및/또는 아미노실란 실리콘 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 2 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 오존과 같은 산화제 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 4 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 5, 후속하는 레시피 페이즈는 불활성 및/또는 반응 가스의 플로우 레이트를 조절하거나 중단시키기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들 및 제 5 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 더 세분화될 수도 있고 그리고/또는 개시된 실시예들의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 제어기 (450) 는 도 5의 시스템 제어기 (550) 대해 이하에 기술된 임의의 특징들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 중 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (502) 및 아웃바운드 로드록 (504) 을 갖는, 멀티스테이션 프로세싱 툴 (500) 의 실시예의 개략도를 도시한다. 대기압에서 로봇 (506) 은, 카세트로부터 포드 (508) 를 통해 인바운드 로드록 (502) 으로 로딩된 웨이퍼들을 대기 포트 (510) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (502) 내의 페데스탈 (512) 상에 로봇 (506) 에 의해 배치되고, 대기 포트 (510) 는 폐쇄되고, 로드록은 펌프 다운된다 (pump down). 인바운드 로드록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (514) 내로 도입되기 전에 로드록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (502) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로의 챔버 이송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 5에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 웨이퍼의 프로세스 스테이션으로의 직접적인 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 히팅된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스 라인 유입부들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD와 PEALD 프로세스 모드 사이에서 스위칭할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (514) 는 ALD 프로세스 스테이션 및 PEALD 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 5는 프로세싱 챔버 (514) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (590) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (590) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 실시예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서들 (552) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 는 프로세스 툴 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고 메모리 디바이스 (556) 내로 로딩되어 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직은 제어기 (550) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (500) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 아미노실란 가스들, 및 산화제 가스들, 암모니아, 질소, 캐리어 가스들 및/또는 퍼지 가스들) 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따른 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 및 디지털 출력 접속부들에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, 압력 센서들 (예컨대 압력계들), 써모커플들, 등을 포함한다. 적절히 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하도록 이들 센서들로부터 데이터를 사용할 수도 있다.
시스템 제어기 (550) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기 (550) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (550) 에 커플링될 수도 있다.
일부 구현예들에서, 시스템 제어기 (550) 는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (550) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 개시된 방법들을 수행하기 적절한 장치는, 각각 전체가 본 명세서에 참조로서 인용된, 2011년 4월 11일 출원된 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"인 미국 특허 출원 제 13/084,399 호 (현재 미국 특허 제 8,728,956 호), 및 2011년 4월 11일 출원된 명칭이 "SILICON NITRIDE FILMS AND METHODS"인 미국 특허 출원 제 13/084,305 호에 더 논의되고 기술된다.
본 명세서에 기술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (4) 스핀 온 또는 스프레이 온 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (20)

  1. 노출된 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 선택적으로 증착하는 방법에 있어서,
    상기 노출된 실리콘 옥사이드 표면 및 노출된 실리콘 나이트라이드 표면을 갖는 기판을 제공하는 단계로서, 상기 노출된 실리콘 나이트라이드 표면은 1차 아민기들을 포함하는, 상기 기판을 제공하는 단계;
    상기 노출된 실리콘 옥사이드 표면에 아미노실란을 흡착시키도록 상기 기판을 상기 아미노실란에 노출하는 단계; 및
    상기 기판을 산화제에 노출하는 것을 포함하는 열적 ALD (atomic layer deposition) 반응을 수행하는 단계로서, 상기 열적 ALD 반응은, 선택적으로, 노출된 실리콘 나이트라이드 표면에 상대적으로 상기 노출된 실리콘 옥사이드 표면 상에 실리콘 옥사이드를 형성하는, 상기 열적 ALD 반응을 수행하는 단계를 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계; 및
    1차 아민기들을 포함하는 상기 노출된 실리콘 나이트라이드 표면을 형성하도록 상기 처리되지 않은 실리콘 나이트라이드 표면을 암모니아에 노출시키고 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 더 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 기판을 제공하는 단계 전에, 처리되지 않은 실리콘 나이트라이드 표면을 형성하도록 실리콘 나이트라이드를 증착하는 단계 및 1차 아민기들을 포함하는 상기 노출된 실리콘 나이트라이드 표면을 형성하도록 상기 처리되지 않은 실리콘 나이트라이드 표면을 질소 및 암모니아의 혼합물에 노출시키고 약 1 초 내지 약 10 초의 지속기간 동안 플라즈마를 점화하는 단계를 더 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  4. 제 3 항에 있어서,
    상기 질소 및 암모니아의 혼합물에서 암모니아의 양은 약 1 체적 % (% by volume) 미만인, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    약 500 ℃보다 높은 증착 온도에서 CVD (chemical vapor deposition) 에 의해 1차 아민기들을 포함하는 상기 노출된 실리콘 나이트라이드 표면을 형성하는 단계를 더 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 열적 ALD 반응은 약 25 ℃ 내지 약 400 ℃의 증착 온도에서 수행되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 열적 ALD 반응 동안, 상기 기판은 상기 실리콘 옥사이드의 선택적인 증착 동안 약 10 mTorr 내지 약 10 Torr의 챔버 압력을 갖는 챔버 내에 하우징되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  8. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 기판을 상기 아미노실란에 노출하는 단계는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 상기 아미노실란을 흘리는 것을 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  9. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 기판을 상기 산화제에 노출하는 단계는 약 1000 sccm 내지 약 5000 sccm의 플로우 레이트로 상기 산화제를 흘리는 것을 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 아미노실란은 모노아미노실란, 디아미노실란, 트리아미노실란, 테트라아미노실란 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  11. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 산화제는 오존, 물, 과산화물, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  12. 제 2 항에 있어서,
    상기 플라즈마는 약 150 W 내지 약 6000 W의 플라즈마 전력을 사용하여 점화되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  13. 제 3 항에 있어서,
    상기 플라즈마는 약 150 W 내지 약 6000 W의 플라즈마 전력을 사용하여 점화되는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  14. 제 3 항에 있어서,
    상기 질소 및 암모니아의 혼합물은 약 0.01 내지 약 0.1의 질소 가스 플로우 레이트에 대한 암모니아 가스 플로우 레이트의 플로우 레이트비를 포함하는, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  15. 제 14 항에 있어서,
    상기 암모니아 가스 플로우 레이트는 약 10 sccm 내지 약 100 sccm인, 실리콘 옥사이드를 선택적으로 증착하는 방법.
  16. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    기판을 홀딩하기 위한 페데스탈을 포함하는 프로세스 챔버;
    진공에 커플링하기 위한 적어도 하나의 유출부;
    플라즈마 생성기; 및
    장치의 동작들을 제어하기 위한 제어기로서,
    상기 프로세스 챔버 내 암모니아 분위기에서 플라즈마의 생성을 유발하고;
    상기 플라즈마의 생성을 유발한 후, 상기 프로세스 챔버 내로 아미노실란의 도입을 유발하고; 그리고
    열적 ALD 반응에 의해 실리콘 옥사이드를 디포짓하도록 플라즈마를 사용하지 않고 산화제의 도입을 유발하기 위한 머신 판독가능 인스트럭션들을 포함하는, 상기 제어기를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  17. 제 16 항에 있어서,
    상기 제어기는 플라즈마를 사용하지 않은 상기 산화제의 도입 동안 25 ℃ 내지 약 400 ℃의 온도로 상기 페데스탈의 온도를 설정하기 위한 머신 판독가능 인스트럭션들을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  18. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    기판을 홀딩하기 위한 페데스탈을 포함하는 프로세스 챔버;
    진공에 커플링하기 위한 적어도 하나의 유출부;
    플라즈마 생성기; 및
    장치의 동작들을 제어하기 위한 제어기로서,
    질소 및 암모니아 분위기를 형성하도록 상기 프로세스 챔버로 혼합물로 질소 및 암모니아의 도입을 유발하고;
    상기 질소 및 암모니아 분위기에서 플라즈마의 생성을 유발하고;
    상기 플라즈마의 생성을 유발한 후, 상기 프로세스 챔버 내로 아미노실란의 도입을 유발하고; 그리고
    열적 ALD 반응에 의해 실리콘 옥사이드를 디포짓하도록 플라즈마를 사용하지 않고 산화제의 도입을 유발하기 위한 머신 판독가능 인스트럭션들을 포함하는, 상기 제어기를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  19. 제 18 항에 있어서,
    상기 질소 및 암모니아 분위기를 형성하도록 상기 프로세스 챔버로 혼합물로 질소 및 암모니아의 도입을 유발하기 위한 머신 판독가능 인스트럭션들은 체적으로 상기 혼합물의 약 1 %보다 작은 양의 암모니아의 도입을 유발하기 위한 인스트럭션들을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  20. 제 18 항에 있어서,
    상기 질소 및 암모니아 분위기를 형성하도록 상기 프로세스 챔버로 혼합물로 질소 및 암모니아의 도입을 유발하기 위한 머신 판독가능 인스트럭션들은 약 0.01 내지 약 0.1의 질소에 대한 암모니아의 플로우 레이트비로 상기 질소 및 암모니아의 도입을 유발하는 것을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
KR1020180017704A 2017-02-14 2018-02-13 실리콘 옥사이드의 선택적인 증착 KR102424906B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220089631A KR102470304B1 (ko) 2017-02-14 2022-07-20 실리콘 옥사이드의 선택적인 증착

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/432,634 US10176984B2 (en) 2017-02-14 2017-02-14 Selective deposition of silicon oxide
US15/432,634 2017-02-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220089631A Division KR102470304B1 (ko) 2017-02-14 2022-07-20 실리콘 옥사이드의 선택적인 증착

Publications (2)

Publication Number Publication Date
KR20180093826A true KR20180093826A (ko) 2018-08-22
KR102424906B1 KR102424906B1 (ko) 2022-07-22

Family

ID=63105458

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180017704A KR102424906B1 (ko) 2017-02-14 2018-02-13 실리콘 옥사이드의 선택적인 증착
KR1020220089631A KR102470304B1 (ko) 2017-02-14 2022-07-20 실리콘 옥사이드의 선택적인 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220089631A KR102470304B1 (ko) 2017-02-14 2022-07-20 실리콘 옥사이드의 선택적인 증착

Country Status (6)

Country Link
US (3) US10176984B2 (ko)
JP (1) JP2018152554A (ko)
KR (2) KR102424906B1 (ko)
CN (1) CN108425100B (ko)
SG (1) SG10201801141TA (ko)
TW (1) TW201840883A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210027191A (ko) * 2019-08-30 2021-03-10 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
KR20220113328A (ko) * 2019-03-18 2022-08-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7073924B2 (ja) * 2018-06-06 2022-05-24 東京エレクトロン株式会社 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
EP3844799A4 (en) * 2018-08-27 2022-05-25 Versum Materials US, LLC SELECTIVE DEPOSITION ON SILICON-CONTAINING SURFACES
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
WO2020167972A1 (en) * 2019-02-14 2020-08-20 Entegris, Inc. Selective deposition of silicon nitride
KR102626263B1 (ko) * 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) * 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP7186909B2 (ja) * 2019-03-18 2022-12-09 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7407521B2 (ja) * 2019-04-26 2024-01-04 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20200143254A (ko) * 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11182532B2 (en) * 2019-07-15 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Hierarchical density uniformization for semiconductor feature surface planarization
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
CN112593212B (zh) * 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090033200A (ko) * 2006-05-23 2009-04-01 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) * 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
KR100646296B1 (ko) 2001-09-12 2006-11-23 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
JP2005536055A (ja) 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
WO2006124131A2 (en) * 2005-05-18 2006-11-23 Intermolecular, Inc. Substrates including a capping layer on electrically conductive regions
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
WO2012112553A1 (en) 2011-02-14 2012-08-23 Dionex Corporation Nanometer size chemical modified materials and uses
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
EP2823083B1 (en) 2012-03-09 2023-10-04 Versum Materials US, LLC Methods for making silicon containing films on thin film transistor devices
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9041125B2 (en) * 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
WO2014209327A1 (en) 2013-06-27 2014-12-31 Intel Corporation Non-lithographically patterned directed self assembly alignment promotion layers
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) * 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9076651B1 (en) 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9873613B2 (en) 2014-02-28 2018-01-23 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP6604596B2 (ja) 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
JP6317232B2 (ja) * 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9553100B2 (en) * 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
WO2016138284A1 (en) 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
TWI717260B (zh) 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) * 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090033200A (ko) * 2006-05-23 2009-04-01 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220113328A (ko) * 2019-03-18 2022-08-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20210027191A (ko) * 2019-08-30 2021-03-10 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램

Also Published As

Publication number Publication date
JP2018152554A (ja) 2018-09-27
KR102424906B1 (ko) 2022-07-22
US20190115207A1 (en) 2019-04-18
US10903071B2 (en) 2021-01-26
KR20220106729A (ko) 2022-07-29
TW201840883A (zh) 2018-11-16
SG10201801141TA (en) 2018-09-27
US20180233349A1 (en) 2018-08-16
US10176984B2 (en) 2019-01-08
KR102470304B1 (ko) 2022-11-23
US20200219718A1 (en) 2020-07-09
CN108425100A (zh) 2018-08-21
US10629429B2 (en) 2020-04-21
CN108425100B (zh) 2022-04-15

Similar Documents

Publication Publication Date Title
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR20160118968A (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
KR20160113014A (ko) 초박 원자층 증착 막 정확도 두께 제어
KR20160061885A (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
KR20200118504A (ko) 가수분해를 사용한 선택적인 증착

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant