JP2005536055A - 酸化シリコン及び酸窒化シリコンの低温堆積 - Google Patents

酸化シリコン及び酸窒化シリコンの低温堆積 Download PDF

Info

Publication number
JP2005536055A
JP2005536055A JP2004529164A JP2004529164A JP2005536055A JP 2005536055 A JP2005536055 A JP 2005536055A JP 2004529164 A JP2004529164 A JP 2004529164A JP 2004529164 A JP2004529164 A JP 2004529164A JP 2005536055 A JP2005536055 A JP 2005536055A
Authority
JP
Japan
Prior art keywords
deposition
ozone
substrate
alkyl
organosilicon precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004529164A
Other languages
English (en)
Inventor
佳秀 千崎
サン イン リー
サン キョウ リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IPS Ltd
Original Assignee
IPS Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IPS Ltd filed Critical IPS Ltd
Publication of JP2005536055A publication Critical patent/JP2005536055A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

本発明は、有機シリコン前駆体及びオゾンから酸化シリコン及び/又は酸窒化シリコンを形成するための低温(すなわち、約450℃未満の)化学気相成長(CVD)プロセス及び低温原子層堆積(ALD)プロセスに関する。本発明のプロセスは、良好な段差被覆をもたらす。本発明を利用して、高k誘電体及び低k誘電体の両方を堆積させることができる。

Description

本発明は、半導体の分野に関する。より具体的には、本発明は、有機シリコン前駆体及びオゾンから酸化シリコン及び/又は酸窒化シリコンを形成するための低温化学気相成長(CVD)プロセス及び低温原子層堆積(ALD)プロセスに関する。
(関連出願)
本出願は、2002年8月18日に出願され、発明の名称を「Low Temperature Deposition of Silicon Oxides and Oxynitrides」とする米国仮特許出願番号第60/404,363号に関し、この出願の優先権を主張するものである。
CVDは、既知の堆積プロセスである。CVDにおいては、2又はそれ以上の反応性ガスが堆積チャンバ内で混合され、この堆積チャンバ内では、これらのガスが、ガス相で反応して、基板表面上に膜を堆積させるか又は該基板表面上で直接反応する。CVDによる堆積は、堆積させる膜の所望の厚さに基づいて、特定の長さの時間にわたって生じる。この特定の時間は、チャンバ内に入る反応性物質の流束の関数であるため、必要な時間は、チャンバごとに変わることになる。
ALDもまた、既知のプロセスである。従来のALD堆積サイクルにおいては、各々の反応性ガスは逐次的にチャンバ内に導入され、したがってガス相の混合は生じない。第1の反応性物質(すなわち、前駆体)の単層が、基板表面に物理吸着されるか又は化学吸着される。次に、過剰な第1の反応性物質は、通常は不活性パージ・ガス及び/又はポンプを使って排出される。次に、第2の反応性物質が、堆積チャンバに導入され、第1の反応性物質と反応し、自己制御型表面反応によって所望の膜の単層を形成する。初めに吸収された第1の反応性物質が第2の反応性物質と完全に反応すると、自己制御型反応は停止する。次に、過剰な第2の反応性物質は、不活性パージ・ガス及び/又はポンプを使って排出される。必要に応じて堆積サイクルを繰り返すことによって、所望の膜厚が得られる。膜厚は、堆積サイクル数を計数するだけで原子層(すなわち、オングストローム・スケール)の精度に制御することができる。
ゲート及びキャパシタ用途として酸化シリコン(SiOX)膜及び酸窒化シリコン(SiOXY)膜を用いることが知られている。しかしながら、こうした膜を形成するための、現在のCVD技術を含む現在の技術は、集積回路(IC)の線幅寸法がスケールダウンし続けるにつれて、ふさわしくないものとなりつつある。
例えば、酸素ガス又は水蒸気と反応させた有機シリコン前駆体から酸化シリコン層を堆積させるために、CVDを用いることが知られている。しかしながら、ビス(第三ブチルアミノ)シラン(BTBAS)及びジエチルシラン(Et2SiH2)は400℃で酸素ガス(O2)と反応するものの、こうしたCVDプロセスには、一般に、600℃を上回る温度が必要である。こうした高温によってタングステンなどのコンタクトメタルの酸化が生じ、線抵抗が増加するようになる。さらに、こうした高温によって金属の触媒反応が生じ、装置構造にタングステン・ウィスカーなどの望ましくないウィスカーが生成される。したがって、低温を利用する堆積プロセスが必要とされる。
さらなる例として、プリメタル絶縁(PMD)用途においては、高密度プラズマ(HDP)CVDを用いて、300℃から550℃までの温度でリンドープ・ガラス(PSG)又は非ドープ珪酸ガラス(NSG)を堆積させることが知られている。しかしながら、HDP CVDは、そのギャップフィル性能に関して約3:1のアスペクト比に限定される。アスペクト比は、トレンチ幅に対するトレンチ高さの比であり、この比が大きくなるほど埋め込むのが困難になる。半導体装置の金属構造間におけるギャップすなわち間隙の存在は、トラップ水のポケット、微小クラック、及び短絡につながる可能性がある。したがって、より大きなギャップフィル性能を呈する堆積プロセスが必要とされる。
スペーサ及びプリメタル絶縁用途で酸化シリコン層及び酸窒化シリコン層を堆積させるために、低温(すなわち、約450℃未満の)堆積プロセスを提供する。CVDプロセス及びALDプロセスのいずれとすることもできるプロセスは、有機シリコン前駆体、及び必要に応じて窒素源とともに、酸化性物質としてオゾンを用いる。低温堆積プロセスは、6:1又はそれ以上の高アスペクト比という条件で、良好な段差被覆性能及びギャップフィル性能をもたらす。
本発明の一態様においては、基板上に酸化シリコン層を堆積させるためのCVDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)オゾンを該堆積域に導入する、ステップを含む少なくとも1回のサイクルを有する。本発明のこの態様においては、このステップは、同時に又は逐次的に実施することができる。前駆体及びオゾンが反応し、基板上に酸化シリコンの層を形成する。
本発明の別の態様においては、基板上に酸窒化シリコン層を堆積させるためのCVDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)オゾンを該堆積域に導入し、(3)アンモニア(NH3)などの窒素源を該堆積層に導入する、ステップを含む少なくとも1回のサイクルを有する。この場合も、ステップは、同時に又は逐次的に実施することができる。前駆体、オゾン、及び窒素源が反応し、基板上に酸窒化シリコンの層を形成する。
本発明のさらに別の態様においては、基板上に酸化シリコン層を堆積させるためのALDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)該堆積域をパージし、(3)オゾンを該堆積域に導入する、ステップを含む少なくとも1回のサイクルを有する。本発明のこの態様においては、このステップは逐次的に実施される。このサイクルは、酸化シリコンの1つの単層を堆積させる。サイクルは、堆積域の付加的なパージによって各々のサイクルを分離させることができるのであれば、所望の膜厚を達成するために必要に応じて何度でも繰り返すことができる。
本発明のさらに別の態様においては、基板上に酸窒化シリコン層を堆積させるためのALDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)該堆積域をパージし、(3)オゾンと窒素源、例えばアンモニア(NH3)とを該堆積域に導入する、ステップを含む少なくとも1回のサイクルを有する。このステップは逐次的に実施される。オゾン及び窒素の導入は、任意の順序で別々に又は同時に行うことができ、必要に応じて、堆積チャンバをパージするステップによって分離することができる。このサイクルは、酸窒化シリコンの1つの単層を堆積させる。サイクルは、堆積域の付加的なパージによって各々のサイクルを分離させることができるのであれば、所望の膜厚を達成するために必要に応じて何度でも繰り返すことができる。
本発明の他の態様及び利点が、添付図面を参照して、以下の本発明の詳細な説明を読むことで明らかになるであろう。
本発明は、低温、すなわち約450℃を下回る温度で基板上に酸化シリコン膜及び酸窒化シリコン膜を堆積させると同時に、良好な段差被覆特性を維持する、CVD方法及びALD方法を提供するものである。本発明の方法は、オゾンとともに金属有機シリコン前駆体を使用する。本発明の堆積方法は、高k誘電体及び低k誘電体の両方を堆積させるのに用いることができる。
被覆されることになる基板は、使用される処理温度で安定な金属面又は親水性表面を持ついずれかの材料とすることができる。適切な材料は、当業者に容易に明らかとなるであろう。適切な基板として、シリコン、セラミックス、金属、プラスチック、ガラス、及び有機ポリマーが挙げられる。好ましい基板として、シリコン、タングステン、及びアルミニウムが挙げられる。基板は、基板表面の化学的な構造及び/又は性質を与えるか、取り除くか、又は均一化するために処理してもよい。基板の選択は、特定の用途に応じて決まる。
有機シリコン前駆体は、揮発することができ、かつ、その構造に1つ又はそれ以上のシリコン原子と、反応性酸素(例えば、オゾン)及び/又は反応性窒素(例えば、アンモニア)を含む化合物によってシリコン原子から切り離すことができる1つ又はそれ以上の有機離脱基又はリガンドとを有するいずれかの分子を含む。好ましくは、有機シリコン前駆体は、1つ又はそれ以上のシリコン原子と、反応性酸素及び/又は反応性窒素を含む化合物によってシリコン原子から切り離すことができる1つ又はそれ以上の有機離脱基とのみから構成される。より好ましくは、有機シリコン前駆体は、室温で又は室温近くで、例えば好ましくは100℃以内の室温、さらに好ましくは50℃以内の室温で、揮発性の液体である。適切な有機シリコン前駆体は、当業者に明らかとなるであろう。適切な有機シリコン前駆体の好ましい例として、テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDSO)、ヘキサメチルジシラザン(HMDSN)、シリコン・テトラキス(エチルメチルアミド)(TEMASi)、アルキルアミノシラン、アルキルアミノジシラン、アルキルシラン、アルキルオキシシラン、アルキルシラノール、及びアルキルオキシシラノールが挙げられるが、これらに限定されるものではない。一実施形態においては、シリコン前駆体は、アミノシラン又はシリコン・アルキルアミドである。これらの化合物は、低温では極めて不安定で、容易にオゾンと反応するSi−N結合を含む。前駆体ガスの流量は、1sccmから1000sccmの範囲とすることができる。好ましくは、前駆体ガスの流量は、10sccmから500sccmの範囲である。
オゾンガスは、水(H2O)又は酸素ガス(O2)などの従来の酸化性物質を用いて得られるものと比べて低い温度で有機シリコン前駆体の酸化を可能にする。オゾンを用いて前駆体を酸化することによって、約450℃未満の温度及び約200℃という低温でも、良好な結果が得られる。温度範囲は、300℃から400℃までが好ましい。水の代わりにオゾンを用いることの他の利点として、水酸基結合が無くなること、及び、膜内の水酸基結合及び少量の炭素によって生じる固定/捕獲電荷が無くなることが挙げられる。好ましい実施形態においては、オゾンのみが使用される。別の好ましい実施形態においては、オゾンは、酸素との混合物で使用される。オゾンガス流は、10sccmから2000sccmまでの範囲とすることができる。好ましくは、オゾンガス流は、100sccmから2000sccmまでの範囲である。好ましくは、堆積域に導入されるオゾンの濃度は、10g/m3から400g/m3の範囲であり、より好ましくは、150g/m3から300g/m3の範囲である。具体例として、高アスペクト比トレンチの優れた段差被覆性と一様性とを備えるSiO2膜を、TEMASi及びオゾンを用いて、400℃、圧力5トールで堆積させた。オゾンガス流は約30sccmであり、オゾン濃度は250g/m3であった。
所望の膜が酸窒化物であるとき、付加的に窒素源が使用される。窒素源は、揮発することができ、かつ、その構造に反応性窒素を含むいずれかの化合物とすることができる。適切な窒素源として、窒素原子、窒素ガス、アンモニア、ヒドラジン、アルキルヒドラジン、アルキルアミンなどが挙げられるが、これらに限定されるものではない。アンモニアが好ましい。窒素源ガスは、10sccmから2000sccmまでの範囲の流量で堆積チャンバに流れ込む。好ましくは、窒素源ガスは、100sccmから2000sccmまでの範囲の流量で流れる。
多くの実施形態においては、一様性を向上させるために、反応性ガス(例えば、前駆体、オゾン、窒素源)の1つ又はそれ以上と組み合わせて、希釈ガスが使用される。希釈ガスは、いずれかの非反応性ガスとすることができる。適切な希釈ガスとして、窒素ガス、ヘリウムガス、ネオンガス、アルゴンガス、キセノンガスが挙げられる。費用の点から、窒素ガス及びアルゴンガスが好ましい。希釈ガスは、一般に、1sccmから1000sccmまでの範囲で流れる。
CVDの実施形態のいくつか及びALDの実施形態のすべてにおいて、堆積チャンバ内への1つ又はそれ以上の反応性ガスの導入は、パージ・ステップによって分離される。パージは、低圧ポンプ又は真空ポンプによって行うことができる。あるいは、パージは、不活性パージ・ガスを堆積チャンバ内にパルス送出することによって行うことができる。適切なパージ・ガスとして、窒素ガス、ヘリウムガス、ネオンガス、アルゴンガス、キセノンガスが挙げられる。あるいは、ポンプとパージ・ガスとの組み合わせを利用することができる。
圧力が所要の範囲内でなければならないため、上述のガス流は、いずれの場合も、チャンバの大きさ及びポンプ性能によって決まる。必要なプロセス圧は、堆積方法によって決まるが、典型的には、1ミリトールから760トールまで、好ましくは0.5トールから7.0トールまでの範囲である。
本発明の一態様においては、基板上に酸化シリコン層を堆積させるためのCVDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)オゾンを該堆積域に導入する、ステップを含む少なくとも1回のサイクルを有する。本発明のこの態様においては、このステップは、同時に又は逐次的に実施することができる。前駆体及びオゾンが反応し、基板上に酸化シリコンの層を形成する。好ましくは、堆積域は、圧力が0.5トールから2.0トールまでの範囲、温度が400℃以下に維持される。
この堆積プロセスは、以下の式で示すことができる。
Si前駆体+O3→SiO2+副生成物 (1)
例えば、堆積プロセスは、以下の式、すなわち、
Si(NR124+O3→SiO2+副生成物 (2)
Si(NR124-ww+O3→SiO2+副生成物 (3)
の1つ又はそれ以上で示すことができ、ここで、R1及びR2は、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、wは、1、2、3、又は4であり、Lは、水素又はハロゲンから選択される。あるいは、堆積プロセスは、以下の式、すなわち、
Si2(NR126+O3→SiO2+副生成物 (4)
Si2(NR126-zz+O3→SiO2+副生成物 (5)
の1つ又はそれ以上で示すことができ、ここで、R1及びR2は、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、zは、1、2、3、4、5、又は6であり、Lは、水素又はハロゲンから選択される。
本発明の別の態様においては、基板上に酸窒化シリコン層を堆積させるためのCVDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)オゾンを該堆積域に導入し、(3)窒素源を該堆積層に導入する、ステップを含む少なくとも1回のサイクルを有する。この場合も、このステップは、同時に又は逐次的に実施することができる。前駆体、オゾン、及び窒素源が反応し、基板上に酸窒化シリコンの層を形成する。好ましくは、堆積域は、圧力が0.5トールから2.0トールまでの範囲、温度が400℃以下に維持される。
この堆積プロセスは、以下の式で示すことができる。
Si前駆体+窒素源+O3→SiOxy+副生成物 (6)
例えば、堆積プロセスは、以下の式、すなわち、
Si(NR124+NH3+O3→SiOxy+副生成物 (7)
Si(NR124-WW+NH3+O3→SiOxy+副生成物 (8)
の1つ又はそれ以上で示すことができ、ここで、R1及びR2は、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、wは、1、2、3、又は4であり、Lは、水素又はハロゲンから選択される。あるいは、堆積プロセスは、以下の式、すなわち、
Si2(NR126+NH3+O3→SiOxy+副生成物 (9)
Si2(NR126-ZZ+NH3+O3→SiOxy+副生成物 (10)
の1つ又はそれ以上で示すことができ、ここで、R1及びR2は、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、zは、1、2、3、4、5、又は6であり、Lは、水素又はハロゲンから選択される。オゾンガス及び窒素源ガスは、同時に又は別々に導入することができる。好ましくは、オゾンガス及び窒素源ガスは、混合物として導入される。
低圧低温CVDプロセスにおいて膜を堆積させる上述の方法は、図1に示される。図1においては、シリコン・ウェハ100が、チャンバのベース圧付近で搬送して堆積チャンバ101内に装荷される。堆積チャンバ101内では、ウェハ100は、ヒータ102によって堆積温度まで加熱される。この例では、不活性希釈ガス流103をチャンバ101内に導入することによって、プロセス圧が設定される。次いで、有機シリコン前駆体104及びオゾン酸化性物質105(及び、SiOxyを堆積させることになる場合には、NH3106)のガス流は、半導体及び薄膜産業において使用される従来のガス供給方法を用いて、チャンバ内に導入される。目標の膜厚を達成するのに必要な適切な時間の後、シリコン前駆体及び酸化性物質/NH3のガス流が停止され、不活性希釈ガス流を調節して、残りの反応性物質がチャンバからパージされる。適切なパージ時間の後、ウェハは、プロセス・チャンバから搬出され、カセットに戻される。
本発明のさらに別の態様においては、基板上に酸化シリコン層を堆積させるためのALDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)該堆積域をパージし、(3)オゾンを該堆積域に導入して基板上に酸化シリコンの層を形成する、ステップを含む少なくとも1回のサイクルを含む。本発明のこの態様においては、このステップは逐次的に実施される。このサイクルは、酸化シリコンの1つの単層を堆積させる。サイクルは、堆積域の付加的なパージによって各々のサイクルを分離させることができるのであれば、所望の膜厚を達成するために必要に応じて何度でも繰り返すことができる。プロセスのための式全体は、上述の式1から式5に示されるものと同じである。しかしながら、反応は、単層の成長を保証するために、パージによって分離される多数のステップに分けられる。
本発明のさらに別の態様においては、基板上に酸窒化シリコン層を堆積させるためのALDプロセスが、以下のステップ、すなわち、(1)有機シリコン前駆体を基板が置かれた堆積域に導入し、(2)該堆積域をパージし、(3)オゾン及び窒素源を該堆積域に導入する、ステップを含む少なくとも1回のサイクルを有する。このステップは逐次的に実施される。オゾン及び窒素の導入は、任意の順序で別々に又は同時に行うことができ、必要に応じて、堆積チャンバをパージするステップによって分離することができる。このサイクルは、酸窒化シリコンの1つの単層を堆積させる。サイクルは、堆積域の付加的なパージによって各々のサイクルを分離させることができるのであれば、所望の膜厚を達成するために必要に応じて何度でも繰り返すことができる。プロセスのための式全体は、上述の式6から式10に示されるものと同じである。しかしながら、反応は、単層の成長を保証するために、パージによって分離される多数のステップに分けられる。
ALDは、従来のCVDに優る幾つかの利点を有する。第1に、ALDはより低い温度でも行うことができる。第2に、ALDは、極薄のコンフォーマル膜を生成することができる。実際には、ALDは、原子スケールで膜厚を制御することができ、複合薄膜を「ナノ工作」するのに用いられる。第3に、ALDは、平坦ではない基板上に、薄膜のコンフォーマルな被覆域を形成することができる。しかしながら、1サイクル当たりで必要なパルス数が増加するため、ALDのためのプロセス時間は、一般に長くなる。
ALDによって膜を堆積させるための上述の方法は、図2に記載された一連のステップで示される。図2においては、チャンバからガスを排出した後、ウェハ200が、堆積域201に搬入され、該ウェハを堆積温度まで加熱するウェハ・ヒータ202上に置かれる。堆積温度は、100℃から550℃までの範囲とすることができるが、約450℃未満であることが好ましく、300℃から400℃までの範囲であることがより好ましい。希釈ガス203の定常流が、堆積域201に導入される。このガスは、Ar、He、Ne、Xe、N2、又は他の非反応性ガスとすることができる。圧力は、プロセス圧に設定される。プロセス圧は、100ミリトールから10トールまでとすることができ、好ましくは、200ミリトールから1.5トールまでである。定常圧が達成され、あらゆる残留ガスをウェハ200の表面から除去するのに適した時間の後、ALD堆積が始まる。まず、有機シリコン前駆体の蒸気流204のパルスが、適切な弁を開けることによって堆積域に導入される。蒸気流量は、1sccmから1000sccmまでとすることができ、5sccmから100sccmまでの範囲であることが好ましい。蒸気は、Ar、N2、He、Ne、Xeなどの非反応性ガスによって希釈してもよい。希釈流量は、100sccmから1000sccmまでとすることができる。前駆体パルス時間は、0.01秒から10秒までとすることができ、0.05秒から2秒の範囲であることが好ましい。前駆体パルスの最後に、堆積域201内への前駆体蒸気流が終了する。次いで、堆積領域への蒸気供給ラインは、非反応性ガス203を用いて、適切な時間の間パージされる。パージの間、非反応性ガス203は、蒸気供給ラインを通ってチャンバ内に流れる。非反応性ガスは、Ar、He、Ne、Xe、N2とすることができる。パージ・ガス流量は、前駆体パルスステップの間にラインを通った全ガス流量と同じであることが望ましい。蒸気パージ時間は、0.1秒から10秒までとすることができるが、0.5秒から5秒までであることが好ましい。蒸気パージ・ステップの最後に、適切な弁(図示せず)を作動させることによって、反応性ガス流が堆積域201に方向付けられる。SiO2を堆積させる場合には、反応性ガスはオゾン205であり、SiOxyを堆積させる場合には、反応性ガスは、オゾン205とアンモニア206との組み合わせである。反応性ガスの全流量は、100sccmから2000sccmまでとすることができ、200sccmから1000sccmまでの範囲であることが好ましい。オゾン濃度は、150g/m3から300g/m3の範囲であり、約200g/m3であることが好ましい。SiOxyを堆積させる場合には、酸化性物質流とアンモニア流との比は、所望の組成及び温度に応じて、0.2から10とすることができる。反応性物質パルス時間は、0.1秒から10秒までとすることができるが、0.5秒から3秒までであることが好ましい。反応性物質パルスが完了した後、堆積域201への反応性物質供給ラインは、非反応性ガス203の流れを用いてパージされる。非反応性ガスは、He、Ne、Ar、Xe、N2とすることができる。パージ流量は、反応性物質パルスの間に反応性物質供給ラインを通った全流量と同じであることが望ましい。反応性物質パルスの後、次の前駆体パルスが行われ、この手順は、所望の膜厚を達成するのに必要であれば何度でも繰り返される。
上述の手順は、パージ・ガスの使用に加えて、パージ・ステップの1つ又はそれ以上の際にポンプ排気を含むことによって変更することができる。上述の手順は、パージ・ガスの代わりに、パージ・ステップの1つ又はそれ以上の際にポンプを使用することによって変更することもできる。
本方法は、ドープトSiOx及びアンドープトSiOx並びにドープトSiOxy及びアンドープトSiOxyのいずれについても使用することができる。集積回路(IC)製造における本方法の典型的な用途には、プリメタル絶縁体(PMD)、浅いトレンチ分離(STI)、スペーサ、金属シリケート・ゲート誘電体、及び低k誘電体を含むが、これらに限定されるものではない。
このように、特許法が要求する詳細さ及び精密さをもって本発明を説明したが、特許証によって保護されることを主張し、要求する事項は、添付の特許請求の範囲に記載される。
本発明のCVDプロセスを示す。 本発明のALDプロセスを示す。

Claims (27)

  1. 有機シリコン前駆体及びオゾンを、基板が置かれた堆積域に導入するステップを含むことを特徴とする、酸化シリコンを基板上に堆積させるための方法。
  2. 前記堆積が、化学気相成長法によって行われ、
    (1)有機シリコン前駆体を基板が置かれた堆積域に導入し、
    (2)オゾンを前記堆積域に導入する、
    ステップを含む少なくとも1回のサイクルを有することを特徴とする、請求項1に記載の方法。
  3. 前記ステップが同時に行われることを特徴とする、請求項2に記載の方法。
  4. 前記ステップが逐次的に行われることを特徴とする、請求項2に記載の方法。
  5. 前記堆積が、原子層堆積法によって行われ、
    (1)有機シリコン前駆体を基板が置かれた堆積域に導入し、
    (2)前記堆積域をパージし、
    (3)オゾンを前記堆積域に導入する、
    逐次的なステップを含む少なくとも1回のサイクルを有することを特徴とする、請求項1に記載の方法。
  6. 前記有機シリコン前駆体が、テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDSO)、ヘキサメチルジシラザン(HMDSN)、シリコン・テトラキス(エチルメチルアミド)(TEMASi)、アルキルシラン、アルキルアミノシラン、アルキルアミノジシラン、アルキルオキシシラン、アルキルシラノール、アルキルオキシシラノールから選択されることを特徴とする、請求項1に記載の方法。
  7. 前記有機シリコン前駆体は、R1及びR2が、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、wが、1、2、3、又は4であり、Lが、水素又はハロゲンから選択される式Si(NR124-WWを有することを特徴とする、請求項1に記載の方法。
  8. 前記有機シリコン前駆体は、R1及びR2が、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、zが、1、2、3、4、5、又は6であり、Lが、水素又はハロゲンから選択される式Si2(NR126-ZZを有することを特徴とする、請求項1に記載の方法。
  9. 前記堆積域が、1ミリトールから760トールまでの範囲の圧力に維持されることを特徴とする、請求項1に記載の方法。
  10. 前記堆積が、200℃から400℃までの温度で行われることを特徴とする、請求項1に記載の方法。
  11. 前記堆積域に導入される前記オゾンが、10g/m3から400g/m3までの範囲のオゾン濃度であることを特徴とする、請求項1に記載の方法。
  12. 前記基板が、シリコン基板、セラミックス、金属、プラスチック、ガラス、及び有機ポリマーであることを特徴とする、請求項1に記載の方法。
  13. 有機シリコン前駆体、オゾン、及び窒素源を、基板が置かれた堆積域に導入するステップを含むことを特徴とする、酸窒化シリコンを基板上に堆積させるための方法。
  14. 前記堆積が、化学気相成長法によって行われ、
    (1)有機シリコン前駆体を基板が置かれた堆積域に導入し、
    (2)オゾンを前記堆積域に導入し、
    (3)窒素源を前記堆積層に導入する、
    ステップを含む少なくとも1回のサイクルを有することを特徴とする、請求項13に記載の方法。
  15. 前記ステップが同時に行われることを特徴とする、請求項14に記載の方法。
  16. 前記ステップが逐次的に行われることを特徴とする、請求項14に記載の方法。
  17. 前記堆積が、原子層堆積法によって行われ、
    (1)有機シリコン前駆体を基板が置かれた堆積域に導入し、
    (2)前記堆積域をパージし、
    (3)オゾン及び窒素源を前記堆積域に導入する、
    逐次的なステップを含む少なくとも1回のサイクルを有することを特徴とする、請求項13に記載の方法。
  18. 前記オゾン及び前記窒素源が任意の順序で別々に導入されることを特徴とする、請求項17に記載の方法。
  19. 前記オゾン及び前記窒素源が同時に導入されることを特徴とする、請求項17に記載の方法。
  20. 前記有機シリコン前駆体が、テトラメチルジシロキサン(TMDSO)、ヘキサメチルジシロキサン(HMDSO)、ヘキサメチルジシラザン(HMDSN)、シリコン・テトラキス(エチルメチルアミド)(TEMASi)、アルキルシラン、アルキルアミノシラン、アルキルアミノジシラン、アルキルオキシシラン、アルキルシラノール、アルキルオキシシラノールから選択されることを特徴とする、請求項13に記載の方法。
  21. 前記有機シリコン前駆体は、R1及びR2が、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、wが、1、2、3、又は4であり、Lが、水素又はハロゲンから選択される式Si(NR124-WWを有することを特徴とする、請求項13に記載の方法。
  22. 前記有機シリコン前駆体は、R1及びR2が、水素、C1〜C6アルキル、C5〜C6環状アルキル、ハロゲン、並びに、置換アルキル及び置換環状アルキルから独立に選択され、zが、1、2、3、4、5、又は6であり、Lが、水素又はハロゲンから選択される式Si2(NR126-ZZを有することを特徴とする、請求項13に記載の方法。
  23. 前記窒素源が、窒素原子、窒素ガス、アンモニア、ヒドラジン、アルキルヒドラジン、及びアルキルアミンから選択されることを特徴とする、請求項13に記載の方法。
  24. 前記堆積域が、1ミリトールから760トールまでの範囲の圧力に維持されることを特徴とする、請求項13に記載の方法。
  25. 前記堆積が、400℃を下回る温度で行われることを特徴とする、請求項13に記載の方法。
  26. 前記堆積域に導入される前記オゾンが、10g/m3から400g/m3までの範囲のオゾン濃度であることを特徴とする、請求項13に記載の方法。
  27. 前記基板が、シリコン基板、セラミックス、金属、プラスチック、ガラス、及び有機ポリマーであることを特徴とする、請求項13に記載の方法。
JP2004529164A 2002-08-18 2003-08-18 酸化シリコン及び酸窒化シリコンの低温堆積 Pending JP2005536055A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40436302P 2002-08-18 2002-08-18
PCT/US2003/026083 WO2004017383A2 (en) 2002-08-18 2003-08-18 Low termperature deposition of silicon oxides and oxynitrides

Publications (1)

Publication Number Publication Date
JP2005536055A true JP2005536055A (ja) 2005-11-24

Family

ID=31888354

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004529164A Pending JP2005536055A (ja) 2002-08-18 2003-08-18 酸化シリコン及び酸窒化シリコンの低温堆積

Country Status (8)

Country Link
US (1) US20060178019A1 (ja)
EP (1) EP1535321A4 (ja)
JP (1) JP2005536055A (ja)
KR (1) KR20050069986A (ja)
CN (1) CN1868041A (ja)
AU (1) AU2003259950A1 (ja)
TW (1) TW200422424A (ja)
WO (1) WO2004017383A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006054432A (ja) * 2004-07-15 2006-02-23 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2008533731A (ja) * 2005-03-17 2008-08-21 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン酸化物含有膜の形成方法
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP2010050425A (ja) * 2007-12-26 2010-03-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US8420482B2 (en) 2008-09-05 2013-04-16 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of forming the same
JP2015128170A (ja) * 2006-05-23 2015-07-09 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
US11094532B2 (en) 2017-07-13 2021-08-17 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP2005213633A (ja) * 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
US20070054505A1 (en) * 2005-09-02 2007-03-08 Antonelli George A PECVD processes for silicon dioxide films
KR100660890B1 (ko) 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
KR101304726B1 (ko) * 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 화학적 증착에 의한 질화규소 필름 및/또는 산화질화규소 필름의 침착 방법
JP2009536986A (ja) * 2006-05-12 2009-10-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 相変化メモリ材料の低温堆積
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
CN102352488B (zh) 2006-11-02 2016-04-06 诚实公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
KR20090068179A (ko) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP2012138500A (ja) 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
US9466476B2 (en) 2010-12-27 2016-10-11 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9460913B2 (en) 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8586487B2 (en) * 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
CN102851733B (zh) * 2012-09-04 2016-08-17 苏州晶湛半导体有限公司 氮化镓基材料及器件的制备系统和制备方法
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
KR102106885B1 (ko) * 2013-03-15 2020-05-06 삼성전자 주식회사 실리콘 산화막 증착용 전구체 조성물 및 상기 전구체 조성물을 이용한 반도체 소자 제조 방법
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102481671B1 (ko) 2015-08-26 2022-12-27 엘지전자 주식회사 경도 및 내마모성이 우수한 고투명도 dlc막 구현 기술
KR20180058232A (ko) * 2015-10-22 2018-05-31 어플라이드 머티어리얼스, 인코포레이티드 SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9812320B1 (en) * 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
JP2022511822A (ja) * 2018-12-04 2022-02-01 アプライド マテリアルズ インコーポレイテッド Si-ヒドロキシル結合を架橋するための硬化方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4171477A (en) * 1976-03-16 1979-10-16 International Business Machines Corporation Micro-surface welding
US4676879A (en) * 1985-04-12 1987-06-30 Becromal S.P.A. Method for the production of an aluminum foil for electrolytic _capacitors, and electrolytic capacitors thus produced
JPH04151839A (ja) * 1990-10-16 1992-05-25 Kawasaki Steel Corp シリコンオキシナイトライド膜の製造方法
US5195019A (en) * 1992-02-10 1993-03-16 Hertz Jerome J Bonding fired multilayer capacitors into a stack
US5744261A (en) * 1992-05-21 1998-04-28 Wilson Greatbatch Ltd. Insulating inclosure for lithium batteries
US5821033A (en) * 1992-09-18 1998-10-13 Pinnacle Research Institute, Inc. Photolithographic production of microprotrusions for use as a space separator in an electrical storage device
US5384685A (en) * 1992-09-18 1995-01-24 Pinnacle Research Institute, Inc. Screen printing of microprotrusions for use as a space separator in an electrical storage device
US5748438A (en) * 1993-10-04 1998-05-05 Motorola, Inc. Electrical energy storage device having a porous organic electrode
US5862035A (en) * 1994-10-07 1999-01-19 Maxwell Energy Products, Inc. Multi-electrode double layer capacitor having single electrolyte seal and aluminum-impregnated carbon cloth electrodes
US6233135B1 (en) * 1994-10-07 2001-05-15 Maxwell Energy Products, Inc. Multi-electrode double layer capacitor having single electrolyte seal and aluminum-impregnated carbon cloth electrodes
US5660737A (en) * 1995-05-17 1997-08-26 Ventritex, Inc. Process for making a capacitor foil with enhanced surface area
DE19528746C1 (de) * 1995-08-04 1996-10-31 Siemens Ag Verfahren zum Erzeugen einer Siliziumdioxidschicht auf Oberflächenabschnitten einer Struktur
US5801917A (en) * 1996-06-03 1998-09-01 Pacesetter, Inc. Capacitor for an implantable cardiac defibrillator
US5980977A (en) * 1996-12-09 1999-11-09 Pinnacle Research Institute, Inc. Method of producing high surface area metal oxynitrides as substrates in electrical energy storage
US5922215A (en) * 1996-10-15 1999-07-13 Pacesetter, Inc. Method for making anode foil for layered electrolytic capacitor and capacitor made therewith
JP3210593B2 (ja) * 1997-02-17 2001-09-17 日本碍子株式会社 リチウム二次電池
US6110321A (en) * 1997-02-28 2000-08-29 General Electric Company Method for sealing an ultracapacitor, and related articles
US5814082A (en) * 1997-04-23 1998-09-29 Pacesetter, Inc. Layered capacitor with alignment elements for an implantable cardiac defibrillator
US5963418A (en) * 1997-05-02 1999-10-05 Cm Components, Inc. Multiple anode high energy density electrolytic capacitor
US6040082A (en) * 1997-07-30 2000-03-21 Medtronic, Inc. Volumetrically efficient battery for implantable medical devices
US5930109A (en) * 1997-11-07 1999-07-27 Pacesetter, Inc. Electrolytic capacitor with multiple independent anodes
US5983472A (en) * 1997-11-12 1999-11-16 Pacesetter, Inc. Capacitor for an implantable cardiac defibrillator
US5968210A (en) * 1997-11-12 1999-10-19 Pacesetter, Inc. Electrolytic capacitor and method of manufacture
JP2003522826A (ja) * 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
US6141205A (en) * 1998-04-03 2000-10-31 Medtronic, Inc. Implantable medical device having flat electrolytic capacitor with consolidated electrode tabs and corresponding feedthroughs
US6157531A (en) * 1998-04-03 2000-12-05 Medtronic, Inc. Implantable medical device having flat electrolytic capacitor with liquid electrolyte fill tube
US6493212B1 (en) * 1998-04-03 2002-12-10 Medtronic, Inc. Implantable medical device having flat electrolytic capacitor with porous gas vent within electrolyte fill tube
US6445948B1 (en) * 1998-04-03 2002-09-03 Medtronic, Inc. Implantable medical device having a substantially flat battery
US6118652A (en) * 1998-04-03 2000-09-12 Medtronic, Inc. Implantable medical device having flat electrolytic capacitor with laser welded cover
US6099600A (en) * 1998-04-03 2000-08-08 Medtronic, Inc. Method of making a vacuum-treated liquid electrolyte-filled flat electrolytic capacitor
US6032075A (en) * 1998-04-03 2000-02-29 Medtronic, Inc. Implantable medical device with flat aluminum electolytic capacitor
US6402793B1 (en) * 1998-04-03 2002-06-11 Medtronic, Inc. Implantable medical device having flat electrolytic capacitor with cathode/case electrical connections
US6110233A (en) * 1998-05-11 2000-08-29 Cardiac Pacemakers, Inc. Wound multi-anode electrolytic capacitor with offset anodes
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6191931B1 (en) * 1998-08-28 2001-02-20 Pacesetter, Inc. Aluminum electrolytic capacitor with conductive feed-through for implantable medical device
US6556863B1 (en) * 1998-10-02 2003-04-29 Cardiac Pacemakers, Inc. High-energy capacitors for implantable defibrillators
US6275729B1 (en) * 1998-10-02 2001-08-14 Cardiac Pacemakers, Inc. Smaller electrolytic capacitors for implantable defibrillators
US6299752B1 (en) * 1999-03-10 2001-10-09 Pacesetter, Inc. Very high volt oxide formation of aluminum for electrolytic capacitors
US6465044B1 (en) * 1999-07-09 2002-10-15 Silicon Valley Group, Thermal Systems Llp Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
EP1071147A1 (en) * 1999-07-19 2001-01-24 Toshiba Battery Co., Ltd. Battery pack
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US6426864B1 (en) * 2000-06-29 2002-07-30 Cardiac Pacemakers, Inc. High energy capacitors for implantable defibrillators
US6409776B1 (en) * 2000-06-30 2002-06-25 Medtronic, Inc. Implantable medical device having flat electrolytic capacitor formed with nonthrough-etched and through-hole punctured anode sheets
KR100467366B1 (ko) * 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6833987B1 (en) * 2000-11-03 2004-12-21 Cardiac Pacemakers, Inc. Flat capacitor having an active case
US7107099B1 (en) * 2000-11-03 2006-09-12 Cardiac Pacemakers, Inc. Capacitor having a feedthrough assembly with a coupling member
US6699265B1 (en) * 2000-11-03 2004-03-02 Cardiac Pacemakers, Inc. Flat capacitor for an implantable medical device
US6509588B1 (en) * 2000-11-03 2003-01-21 Cardiac Pacemakers, Inc. Method for interconnecting anodes and cathodes in a flat capacitor
US7355841B1 (en) * 2000-11-03 2008-04-08 Cardiac Pacemakers, Inc. Configurations and methods for making capacitor connections
US7456077B2 (en) * 2000-11-03 2008-11-25 Cardiac Pacemakers, Inc. Method for interconnecting anodes and cathodes in a flat capacitor
US6571126B1 (en) * 2000-11-03 2003-05-27 Cardiac Pacemakers, Inc. Method of constructing a capacitor stack for a flat capacitor
US6687118B1 (en) * 2000-11-03 2004-02-03 Cardiac Pacemakers, Inc. Flat capacitor having staked foils and edge-connected connection members
US6522525B1 (en) * 2000-11-03 2003-02-18 Cardiac Pacemakers, Inc. Implantable heart monitors having flat capacitors with curved profiles
US6684102B1 (en) * 2000-11-03 2004-01-27 Cardiac Pacemakers, Inc. Implantable heart monitors having capacitors with endcap headers
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7084080B2 (en) * 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6736956B1 (en) * 2001-05-07 2004-05-18 Pacesetter, Inc. Non-uniform etching of anode foil to produce higher capacitance gain without sacrificing foil strength
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
US6946220B2 (en) * 2001-10-19 2005-09-20 Wilson Greatbatch Technologies, Inc. Electrochemical cell having a multiplate electrode assembly housed in an irregularly shaped casing
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7479349B2 (en) * 2002-12-31 2009-01-20 Cardiac Pacemakers, Inc. Batteries including a flat plate design
US20040220627A1 (en) * 2003-04-30 2004-11-04 Crespi Ann M. Complex-shaped ceramic capacitors for implantable cardioverter defibrillators and method of manufacture
US7180727B2 (en) * 2004-07-16 2007-02-20 Cardiac Pacemakers, Inc. Capacitor with single sided partial etch and stake

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006054432A (ja) * 2004-07-15 2006-02-23 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2008533731A (ja) * 2005-03-17 2008-08-21 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン酸化物含有膜の形成方法
JP2015128170A (ja) * 2006-05-23 2015-07-09 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2015146429A (ja) * 2006-05-23 2015-08-13 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 酸化ケイ素及び酸窒化ケイ素膜、それらの形成方法、並びに化学気相成長用組成物
JP2010050425A (ja) * 2007-12-26 2010-03-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US8420482B2 (en) 2008-09-05 2013-04-16 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of forming the same
USRE46389E1 (en) 2008-09-05 2017-05-02 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of forming the same
US11094532B2 (en) 2017-07-13 2021-08-17 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
CN1868041A (zh) 2006-11-22
AU2003259950A1 (en) 2004-03-03
EP1535321A4 (en) 2009-05-27
US20060178019A1 (en) 2006-08-10
TW200422424A (en) 2004-11-01
WO2004017383A2 (en) 2004-02-26
KR20050069986A (ko) 2005-07-05
WO2004017383A3 (en) 2004-07-22
EP1535321A2 (en) 2005-06-01
AU2003259950A8 (en) 2004-03-03

Similar Documents

Publication Publication Date Title
JP2005536055A (ja) 酸化シリコン及び酸窒化シリコンの低温堆積
US10283348B2 (en) High temperature atomic layer deposition of silicon-containing films
US11028478B2 (en) Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9984868B2 (en) PEALD of films comprising silicon nitride
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
US6740977B2 (en) Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same
EP2857552A2 (en) Methods for depositing silicon nitride films
KR101060911B1 (ko) Ald 또는 cvd 공정을 통한 금속 함유 막의 제조
KR20180058232A (ko) SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
US20090075490A1 (en) Method of forming silicon-containing films
US20050153571A1 (en) Nitridation of high-k dielectric films
US20070031598A1 (en) Method for depositing silicon-containing films
JP2005534179A (ja) アミノシランとオゾンを用いる低温誘電体蒸着法
JP2013070077A (ja) シリコン酸化物含有膜の形成方法
KR20090101437A (ko) 금속 규산염 막의 원자층 증착
KR20170038023A (ko) SiCON의 저온 분자층 증착
JP2005533390A (ja) 混合成分を有する薄膜の分子層蒸着
EP3620550A1 (en) Methods for making silicon containing films that have high carbon content
JP2006505954A (ja) 高k誘電体の窒化物形成
WO2020072874A1 (en) High temperature atomic layer deposition of silicon-containing films
KR20050018641A (ko) 아미노실란 및 오존을 이용한 저온 유전체 증착
TWI640651B (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
CN112969817B (zh) 含硅膜的高温原子层沉积

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091005