KR20180058232A - SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들 - Google Patents

SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들 Download PDF

Info

Publication number
KR20180058232A
KR20180058232A KR1020187014250A KR20187014250A KR20180058232A KR 20180058232 A KR20180058232 A KR 20180058232A KR 1020187014250 A KR1020187014250 A KR 1020187014250A KR 20187014250 A KR20187014250 A KR 20187014250A KR 20180058232 A KR20180058232 A KR 20180058232A
Authority
KR
South Korea
Prior art keywords
film
films
sio
sin
intermediate film
Prior art date
Application number
KR1020187014250A
Other languages
English (en)
Inventor
라크말 카루타라지
마크 샐리
데이비드 톰프슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180058232A publication Critical patent/KR20180058232A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

SiO 또는 SiN을 포함하는 유동성 막들을 증착시키는 방법들이 제공된다. 특정 방법들은 기판 표면을 실록산 또는 실라잔 전구체에 노출시키고; 기판 표면을 플라즈마-활성화된 공반응물에 노출시켜 SiON 중간체 막을 제공하고; SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 어닐링하여 SiO 또는 SiN을 포함하는 막을 제공하는 것을 포함한다.

Description

SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
본 발명은 일반적으로 박막들을 증착시키는 방법들에 관한 것이다. 특히, 본 발명은 Si-함유 막들의 유동성 화학적 증기 증착에 관한 것이다.
기판 표면 상에 박막들의 증착은 반도체 가공(semiconductor processing), 확산 배리어 코팅들(diffusion barrier coatings) 및 자기 판독/기록 헤드들(magnetic read/write heads)용 유전체들을 포함하는 다양한 산업들에서 중요한 공정이다. 반도체 산업에서, 특히, 소형화(miniaturization)는 고종횡 구조들 상에 컨포멀 코팅들(conformal coatings)을 생성시키기 위해 높은 수준의 박막 증착 제어로부터 이점을 갖는다. 상대적 제어 및 컨포멀 증착을 갖는 박막들의 증착을 위한 하나의 방법은 화학적 증기 증착(CVD)이다. CVD는 기판(예를 들어, 웨이퍼)을 하나 이상의 전구체들에 노출시키는 것을 포함하며, 이는 기판 상에 막을 증착시키기 위해 반응한다. 유동성 화학적 증기 증착(FCVD; flowable chemical vapor deposition)은 유동성 막들의 증착, 특히, 갭 충전 적용들(gap fill applications)을 가능하게 하는 CVD의 한 타입이다.
SiO 및 SiN 유동성 막들은 갭 충전 적용들을 위해 사용된다. 현재, 이러한 막들은 공반응물들(co-reactants)로서 라디칼 형태들의 NH3/O2와 함께 트리실릴아민(TSA)에 의해 생성된다. SiO 막들은 3의 습식 에칭률비(WER; wet etch rate ratio)를 갖는다. 그러나, 갭 충전 적용들을 위해 2 미만의 WER이 일반적으로 타겟화된다. TSA 공정으로부터 얻어진 증착시 막들은 주성분들로서 Si 및 N을 포함하며, 부성분으로서 O를 포함한다.
상업적으로 이용 가능하고 유동성 성질들뿐만 아니라 낮은 WERR들 모두를 나타내는 신규한 증착 화학물질들에 대한 필요성이 존재한다. 본 발명의 양태들은 증착 공정을 이용하기 위해 특별히 설계되고 최적화된 신규한 화학물질들을 제공함으로써 이러한 문제점을 다룬다. 특히, SiO 및 SiN을 포함하는 유동성 막들의 증착을 위한 새로운 화학물질들에 대한 필요성이 존재한다.
본 발명의 일 양태는 SiO 또는 SiN을 포함하는 막을 증착시키는 방법으로서, 기판 표면을 실록산 또는 실라잔 전구체에 노출시키고; 기판 표면을 플라즈마-활성화된 공반응물에 노출시켜 SiON 중간체 막을 제공하고; SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 어닐링하여 SiO 또는 SiN을 포함하는 막을 제공하는 것을 포함하는 방법에 관한 것이다.
본 발명의 다른 양태는 SiO를 포함하는 막을 증착시키는 방법으로서, 기판 표면을 디실록산을 포함하는 실록산 전구체에 노출시키고; 기판 표면을 원격 플라즈마-활성화된 NH3에 노출시켜 SiON 중간체 막을 제공하고; 오존의 존재 하에 SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 스팀 어닐링(steam annealing)하여 SiO를 포함하는 막을 제공하는 것을 포함하는 방법에 관한 것이다.
본 발명의 다른 양태는 SiN을 포함하는 막을 증착시키는 방법으로서, 기판 표면을 N,N'-디실릴트리실라잔을 포함하는 실라잔 전구체에 노출시키고; 기판 표면을 원격 플라즈마-활성화된 NH3 및/또는 O2에 노출시켜 SiON 중간체 막을 제공하고; SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 NH3 어닐링하여 SiN을 포함하는 막을 제공하는 것을 포함하는 방법에 관한 것이다.
본 발명의 상기 기술된 특징들이 상세하게 이해될 수 있는 방식으로, 상기에서 간략히 요약된, 본 발명의 보다 구체적인 설명은 구체예들을 참조하여 이루어질 수 있으며, 이러한 구체예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구체예들을 예시하는 것이므로 본 발명의 범위를 제한하지 않는 것으로 간주되어야 하는 것으로 주지되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구체예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 FTIR 스펙트럼들이다.
도 2는 본 발명의 하나 이상의 구체예들에 따라 증착된 막 및 4일의 에이징(aging) 후의 막의 FTIR 스펙트럼들이다.
도 3은 본 발명의 하나 이상의 구체예들에 따라 증착된 막 및 비교 막의 FTIR 스펙트럼들의 비교이다.
도 4는 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 FTIR 스펙트럼들이다.
도 5는 10일의 에이징 후의 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 FTIR 스펙트럼들이다.
도 6은 스팀 어닐링 후의 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 FTIR 스펙트럼들이다.
도 7은 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 습식 에칭 비율 및 수축률의 그래프이다.
도 8a 내지 도 8d는 다양한 조건들에서 본 발명의 하나 이상의 구체예들에 따라 증착된 막들의 주사 전자 현미경 이미지들이다.
도 9는 본 발명의 하나 이상의 구체예들에 따라 증착된 두 개의 막들의 FTIR 스펙트럼들이다.
도 10은 본 발명의 하나 이상의 구체예들에 따라 증착된 막 및 비교 막의 FTIR 스펙트럼들의 비교이다.
도 11은 본 발명의 하나 이상의 구체예들에 따라 증착된 막 및 비교 막의 FTIR 스펙트럼들의 비교이다.
도 12는 증착시 및 4일 에이징 후의 비교 막의 FTIR 스펙트럼들의 비교이다.
도 13은 증착시 및 4일 에이징 후의 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 FTIR 스펙트럼들의 비교이다.
도 14는 본 발명의 하나 이상의 구체예들에 따라 증착된 막의 주사 전자 현미경 이미지이다.
도 15a 내지 도 15c는 본 발명의 하나 이상의 구체예들에 따라 증착된 막 및 비교 막의 트렌치내 조성들(in-trench compositions)을 나타낸 그래프들이다.
도 16a 내지 도 16c는 본 발명의 하나 이상의 구체예들에 따라 증착된 막 및 비교 막의 트렌치내 조성들을 나타낸 그래프들이다.
본 발명의 여러 예시적인 구체예들을 기술하기 전에, 본 발명이 하기 설명에서 기술되는 구조 또는 공정 단계들의 세부사항들로 제한되지 않는 것으로 이해된다. 본 발명은 다른 구체예들일 수 있고, 다양한 방식들로 실행되거나 수행될 수 있다. 예시된 구조들은 명시된 화학식을 갖는 이러한 모든 착물들 및 리간드들을 포함하는 것으로 의도된다.
놀랍게도, 유동성 화학적 증기(FCVD) 공정에서 실록산 또는 실라잔 전구체들을 사용하여 고품질의 유동성 막들이 얻어질 수 있다는 것이 발견되었다. 이러한 전구체들은 플라즈마들로부터 발생된 라디칼들 형태의 공반응물들과 함께 사용된다. 막들은 낮은 WERR 및 낮은 수축률들의 유리한 효과를 갖는다. 결과들은 디실록산의 매우 높은 반응성을 고려하여, 디실록산을 사용하는 구체예들에 대해 특히 놀랍다. 이러한 막들의 우수한 특징들로 인하여, 막들은 갭-충전 적용들을 위해 특히 적합하다. 특히, 막들의 유동성은 갭들의 충전을 가능하게 한다.
하나 이상의 구체예들에서, 실록산 또는 실라잔 전구체는 CVD 챔버로 증기화되며, 공반응물들(예를 들어, Ar과 함께 또는 없이, 단지 NH3 또는 NH3/O2)은 원격 플라즈마 공급원을 통해 챔버로 전달되며, 이는 공반응물들로서 플라즈마 활성 종들을 생성시킬 것이다. 플라즈마-활성화된 공반응물 분자들(라디칼들)은 높은 에너지들을 가지고, 유동성 SiON 폴리머들을 형성하기 위해 가스 상에서 Si-함유 전구체 분자들과 반응한다. 이러한 폴리머들은 웨이퍼 상에 증착하며, 이들의 유동성으로 인하여, 폴리머들은 트렌치(trench)들을 통해 흐를 것이고, 갭을 충전시킬 것이다. 이후에, 이러한 막들은 경화(예를 들어, O3 및/또는 UV), 및 어닐링(예를 들어, 스팀 또는 NH3)으로 처리된다.
일부 구체예들에서, 유동성 폴리머들을 생성시키기 위한 직접 플라즈마. 실록산 또는 실라잔 전구체는 이후에 CVD 챔버로 증기로 증기화될 수 있으며, 공반응물들(예를 들어, N2, Ar, NH3, O2의 임의의 조합과 함께 또는 단일 공반응물)은 플라즈마가 작용(turn on)되는 동안 챔버로 전달된다. 일부 구체예들에서, 유동성 막은, 증기화된 규소 전구체가 공정 챔버내로 흘러 들어가고 플라즈마가 공반응물과 함께 또는 없이 작용되도록 직접 플라즈마(direct plasma)로부터 증착된다.
이에 따라, 본 발명의 일 양태는 SiO 또는 SiN을 포함하는 막을 증착시키는 방법에 관한 것이다. 하나 이상의 구체예들에서, 본 방법은 기판 표면을 실록산 또는 실라잔 전구체에 노출시키고; 기판 표면을 플라즈마-활성화된 공반응물에 노출시켜 SiON 중간체 막을 제공하고; SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 어닐링하여 SiO 또는 SiN을 포함하는 막을 제공하는 것을 포함한다. 하나 이상의 구체예들에서, 본 방법은 유동성 화학적 증기 증착 공정이다.
실록산 및 실라잔들 둘 모두는 규소, 및 산소 또는 질소 중 어느 하나의 공급원으로서 역할을 하는 Si-함유 전구체들이다. 실록산 또는 실라잔 전구체들은 기판 표면에 노출시키기 위해 화학적 증기 증착(CVD) 챔버에서 증기화된다.
일부 구체예들에서, 전구체는 실록산 전구체이다. 얻어진 막들은 실록산 전구체가 사용되는 구체예들에서 SiO를 포함한다. 본원에서 사용되는 "실록산"은 적어도 하나의 Si-O-Si 작용기를 갖는 화합물을 지칭한다. 하나 이상의 구체예들에서, 실록산은 분지형, 환형 또는 선형일 수 있다. 일부 구체예들에서, 실록산은 다수의 Si-O-Si 작용기들을 가질 수 있다. 하나 이상의 구체예들에서, 실록산은 다른 원소들을 가지지 않는다. 예를 들어, 하나 이상의 구체예들에서, 실록산 전구체는 하기 화학식 (I) 내지 화학식 (IX)로부터 선택된다:
Figure pct00001
추가 구체예에서, 실록산 전구체는 화학식 (I)의 구조를 갖는, 디실록산을 포함한다.
하나 이상의 구체예들에서, 전구체는 실라잔 전구체이다. 얻어진 막들은 실라잔 전구체가 사용되는 구체예들에서 SiN을 포함한다. 본원에서 사용되는 "실라잔"은 적어도 하나의 Si-N-Si 작용기를 갖는 화합물을 지칭한다. 하나 이상의 구체예들에서, 실록산은 분지형, 환형 또는 선형일 수 있다. 일부 구체예들에서, 실라잔은 다수의 Si-N-Si 작용기들을 가질 수 있다. 하나 이상의 구체예들에서, 실라잔은 다른 원소들을 가지지 않는다. 예를 들어, 일부 구체예들에서, 실라잔 전구체는 하기 화학식들로 이루어진 군으로부터 선택된다:
Figure pct00002
하나 이상의 구체예들에서, 실라잔 전구체는 화학식 (X)의 구조를 갖는, N,N'-디실릴트리실라잔을 포함한다.
상기에서 논의된 바와 같이, 기판 표면은 플라즈마-활성화된 공반응물에 노출된다. 일부 구체예들에서, 공반응물들은 NH3, O2 및 이들의 조합들로 이루어진 군으로부터 선택된다. 공반응물은 또한 Ar, He 및/또는 N2 중 하나 이상을 포함할 수 있다. 플라즈마-활성화된 공반응물들은 또한, 사용되는 공반응물에 따라, 막에 질소 및/또는 산소를 전달할 것이다. 실록산 전구체들과 관련된 일부 구체예들에서, 공반응물은 NH3을 포함한다. 실라잔 전구체들과 관련된 일부 구체예들에서, 공반응물은 NH3과 O2의 혼합물, 또는 단지 NH3을 포함한다.
일부 공정들에서, 플라즈마의 사용은 표면 반응들이 바람직하고 가능성이 있는 여기 상태(excited state)로 종들을 촉진시키기 위해 충분한 에너지를 제공한다. 공정내로 플라즈마의 도입은 연속적이거나 펄스화될 수 있다. 일부 구체예들에서, 전구체들(또는 반응성 가스들) 및 플라즈마의 순차적 펄스들은 층을 가공하기 위해 사용된다. 일부 구체예들에서, 시약들은 직접적으로(즉, 가공 구역 내에서) 또는 원거리에서(즉, 가공 구역 외측에서) 이온화될 수 있다. 일부 구체예들에서, 원격 이온화는 이온들 또는 다른 에너지 또는 발광 종들이 증착하는 막과 직접 접촉하지 않도록 증착 챔버의 업스트림(upstream)에서 일어날 수 있다. 일부 플라즈마-강화 공정들에서, 플라즈마는 예를 들어, 원격 플라즈마 발생기 시스템에 의해 가공 챔버로부터 외부에서 발생된다. 플라즈마는 당업자에게 공지된 임의의 적합한 플라즈마 발생 공정 또는 기술을 통해 발생될 수 있다. 예를 들어, 플라즈마는 마이크로파(MW) 주파수 발생기 또는 무선 주파수(RF) 발생기 중 하나 이상에 의해 발생될 수 있다. 플라즈마의 주파수는 사용되는 특정 반응성 종들에 따라 미세조정될 수 있다. 적합한 주파수들은 2 MHz, 13.56 MHz, 40 MHz, 60 MHz 및 100 MHz를 포함하지만, 이로 제한되지 않는다.
하나 이상의 구체예들에서, 공반응물들은 원격 플라즈마 공급원을 통해 증기화된 실록산 또는 실라잔 전구체를 함유한 CVD 챔버에 전달되며, 이는 공반응물들로서 플라즈마 활성 종들을 생성시킬 것이다. 대안적인 구체예에서, 유동성 폴리머들을 생성시키기 위한 직접 플라즈마.
일부 구체예들에서, 기판은 적절한 경우에, 전구체 및 플라즈마-활성화된 공반응물에 연속적으로 동시에, 또는 실질적으로 동시에 노출될 수 있다. 본원에서 사용되는 용어 "실질적으로 동시에"는 하나의 성분의 흐름의 대부분이 다른 흐름과 중첩하지만, 이러한 것들이 동시에 흐르지 않는 일부 시간이 존재할 수 있음을 의미한다. 대안적인 구체예들에서, 기판 표면을 둘 이상의 전구체들과 접촉시키는 것은 순차적으로 또는 실질적으로 순차적으로 일어난다. 본원에서 사용되는 "실질적으로 순차적으로"는 하나의 성분의 흐름의 대부분이 다른 흐름과 일치하지 않지만, 일부 중첩이 존재할 수 있음을 의미한다.
본 명세서 전반에 걸쳐 사용되는 "기판"은 임의의 기판, 또는 그 위에서 제작 공정 동안 막 가공이 수행되는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 그 위에서 가공이 수행될 수 있는 기판 표면은 적용에 따라, 규소, 산화규소, 변형된 규소, 절연체상 규소(SOI; silicon on insulator), 탄소 도핑된 규소 산화물들, 질화규소, 도핑된 규소, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어와 같은 물질들 및 임의의 다른 물질들, 예를 들어, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은 비제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 하이드록실화, 어닐링 및/또는 베이킹(bake)하기 위해 사전처리 공정에 노출될 수 있다. 기판은 노드 디바이스 구조들(node device structures)(예를 들어, 32 nm, 22 nm 또는 20 nm 이하)을 포함할 수 있고, 트랜지스터 절연(transistor isolation), 다양한 집적 및 희생 스페이서들, 및 측벽 스페이서 이중 패턴화(SSDP; sidewall spacer double patterning) 리소그래피를 포함할 수 있다. 하나 이상의 구체예들에서, 기판은 적어도 하나의 갭을 포함한다. 기판은 기판 상에 형성된 디바이스 구성요소들(예를 들어, 트랜지스터들)의 간격 및 구조를 위해 복수의 갭들을 가질 수 있다. 갭들은 1:1보다 현저하게 더 큰(예를 들어, 5:1 이상, 6:1 이상, 7:1 이상, 8:1 이상, 9:1 이상, 10:1 이상, 11:1 이상, 12:1 이상, 등) 폭에 대한 높이(즉, H/W)의 종횡비(AR)를 규정하는 높이 및 폭을 가질 수 있다. 여러 경우들에서, 고 AR은 약 90 nm 내지 약 22 nm 또는 그 미만(예를 들어, 약 90 nm, 65 nm, 45 nm, 32 nm, 22 nm, 16 nm, 등) 범위의 작은 갭 폭들로 인한 것이다.
본 발명에서, 기판 자체의 표면 상에서의 직접적인 막 가공 이외에, 개시된 임의의 막 가공 단계들은 또한, 하기에서 더욱 상세히 개시되는 바와 같이 기판 상에 형성된 하부층(underlayer) 상에서 수행될 수 있으며, 용어 "기판 표면"은 문맥이 명시하는 바와 같이 이러한 하부층을 포함하도록 의도된다.
임의의 상술된 반응들의 하나 이상의 구체예들에서, 증착 반응을 위한 반응 조건들은 막 전구체들 및 기판 표면의 성질들을 기초로 하여 선택될 것이다. 증착은 대기압에서 수행될 수 있지만, 또한, 감압 하에서 수행될 수 있다. 시약들의 증기압은 이러한 적용들에서 실용적이도록 충분히 낮아야 한다. 기판 온도는 기판 표면의 결합들을 손상시키지 않게 유지시키고 가스상 반응물들의 열 분해를 방지하기 위해 충분히 낮아야 한다. 그러나, 기판 온도는 또한, 막 전구체들을 가스상에서 유지시키고 표면 반응들을 위해 충분한 에너지를 제공하기에 충분히 높아야 한다. 특정 온도는 특정 기판, 막 전구체들, 및 압력에 따른다. 특정 기판, 막 전구체들, 등의 성질들은 당해 분야에 공지된 방법들을 이용하여 평가되어, 반응을 위한 적절한 온도 및 압력의 선택을 가능하게 할 수 있다. 일부 구체예들에서, 압력은 약 6.0, 5.0, 4.0, 3.0, 2.6, 2.0 또는 1.6 Torr 미만이다. 하나 이상의 구체예들에서, 증착은 약 200, 175, 150, 125, 100, 75℃ 미만, 및/또는 약 -1, 0, 23, 50 또는 75℃ 초과의 온도에서 수행된다.
기판이 실록산 또는 실라잔 전구체 및 플라즈마-활성화된 공반응물에 노출된 후에 증착된 막은 SiON을 포함한다("SiON 중간체 막"으로서 지칭됨). 일반적으로, 증착시 막들은 덜 네트워크들를 갖고 더욱 댕글링 결합들(dangling bonds), 예를 들어, Si-H, Si-OH, 및 N-H를 갖는 비교적 저밀도 막들이다. 결과적으로, 이들의 WERR은 대개 매우 높다. 낮은 WERR/조밀한 막들을 얻기 위하여, 막은 고밀도 막을 얻기 위해 추가 처리들로 처리된다. 이러한 처리들 동안, 잔류하는 반응 결합들(예를 들어, SiH, NH)은 더욱 네트워크들을 갖는 막을 형성하기 위해 서로 또는 유입 분자들(예를 들어, O3, 물, NH3)과 반응한다. 이에 따라, 산소 또는 질소 중 어느 하나를 제거하여 타겟화된 막을 달성하기 위해, 이후 막은 추가적인 경화 및 어닐링 공정들로 처리된다. SiO 막들의 경우에, 질소는 경화/어닐링 동안 제거되며, O가 막에 첨가되어 SiO 막을 생성시킨다. 그러나, 실록산 전구체들의 하나의 장점은, 실록산 전구체들이 Si-O를 함유하기 때문에, 증착시 막들이 막에 이미 더 많은 O를 가진다는 것이다. 이에 따라, 실록산 전구체들로부터 얻어진 증착시 막의 SiO로의 변환은 표준 공정들(예를 들어, TSA를 사용하는 공정들)로부터 얻어진 막들에 비해 더욱 용이하다. 결과적으로, 더 적은 양의 경화/어닐링이 실록산 막들에 대하여 사용될 수 있으며, 이는 유리하게 웨이퍼 가공 시간을 절약할 것이다. 유사하게, 실라잔들에 의해 얻어진 SiN 막들은 TSA로부터 얻어진 막들에 비해 더 많은 증착시 막에 존재하는 N을 갖는다.
하나 이상의 구체예들에서, 경화는 중간체 SiON 막을 오존 및/또는 자외선(UV) 방사선에 노출시키는 것을 포함한다. 추가 구체예들에서, 중간체 SiON 막은 오존 및 UV 경화에 노출되어 SiO를 포함하는 막을 얻는다. 다른 구체예들에서, 중간체 SiON 막은 단지 UV 경화에 노출되어 SiON을 포함하는 막을 얻는다.
하나 이상의 구체예들은 또한, 어닐 공정(anneal process)을 포함한다. 일부 구체예들에서, 어닐링은 스팀 어닐링을 포함한다. 다른 구체예들에서, 어닐링은 NH3 어닐링을 포함한다.
이에 따라, 예를 들어, 실록산 전구체(예를 들어, 디실록산)에 관한 하나 이상의 구체예들에서, SiON 중간체 막은 오존 및 UV를 사용하여 경화되고 이후에 스팀 어닐링하여 SiO 막을 생성시킨다. 실라잔 전구체(예를 들어, N,N'-디실릴트리실라잔)에 관한 일부 구체예들에서, 이는 UV에 의해 경화되고, 이후에 NH3 어닐링하여 SiN 막을 생성시킨다.
하나의 예시적인 구체예에서, 본 방법은 기판 표면을 디실록산을 포함하는 실록산 전구체에 노출시키고; 기판 표면을 원격 플라즈마-활성화된 NH3에 노출시켜 SiON 중간체 막을 제공하고; 오존의 존재 하에서 SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 스팀 어닐링하여 SiO를 포함하는 막을 제공하는 것을 포함한다.
추가 구체예들에서, 본 방법은 FCVD 공정이다. 다른 예시적인 구체예에서, 본 방법은 기판 표면을 N,N'-디실릴트리실라잔을 포함하는 실라잔 전구체에 노출시키고; 기판 표면을 원격 플라즈마-활성화된 NH3 및/또는 O2에 노출시켜 SiON 중간체 막을 제공하고; SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고; 경화된 중간체 막을 NH3 어닐링하여 SiN을 포함하는 막을 제공하는 것을 포함한다.
추가 구체예들에서, 본 방법은 FCVD 공정이다. 본 발명의 다른 양태는 본원에 기술된 방법들에 의해 증착된 막들에 관한 것이다. 막들은 하기 실시예들 섹션에 제시된 데이터에 의해 입증되는 바와 같이, 이전에 공지된 유동성 막들(flowable films)과는 구별된다. 하나 이상의 구체예들에서, 증착된 막은 약 2 미만의 WERR을 갖는다.
이러한 공정들의 장점은 낮은 습식 에칭율 및 낮은 수축률을 갖는 고밀도 유동성 막들을 생성시킨다는 것이다. 실록산들은 이미, 증착시 막들(약간의 N을 가짐)에서 Si-O 결합들로 이어지는 분자에 Si-O 결합들을 갖는다. 증착시 막의 SiO 막으로의 변환은 현재 공지된 기술들과 비교하여 보다 적은 경화/어닐링 시간 및 에너지를 사용할 수 있다. 또한, 증착시 막에 SiO의 존재는 낮은 WERR과 함께 낮은 수축률을 야기시킨다. 유사하게, 실라잔들로부터 얻어진 증착시 막들은 더 많은 N을 갖는데, 이는 보다 적은 경화/어닐링 시간 및 에너지를 사용할 수 있고, 낮은 수축률 및 낮은 WERR을 갖는 막들을 갖는다. 이러한 막들은 갭 충전 적용들을 위해 특히 유용하다. 이에 따라, 일부 구체예들에서, 기판은 적어도 하나의 갭을 가지며, 공정은 갭을 적어도 일부 충전한다.
하나 이상의 구체예들에 따르면, 기판은 층을 형성하기 전 및/또는 후에, 가공된다. 이러한 가공은 동일한 챔버에서 또는 하나 이상의 별도의 가공 챔버들에서 수행될 수 있다. 일부 구체예들에서, 기판은 제1 챔버에서 추가 가공을 위한 별도의 제2 챔버로 이동된다. 기판은 제1 챔버에서 별도의 가공 챔버로 직접적으로 이동될 수 있거나, 이는 제1 챔버에서 하나 이상의 이송 챔버들로 이동되고, 이후에, 요망되는 별도의 가공 챔버로 이동될 수 있다. 이에 따라, 가공 장치는 이송 스테이션(transfer station)과 소통하는 다수의 챔버들을 포함할 수 있다. 이러한 부류의 장치는 "클러스터 툴(cluster tool)" 또는 "클러스터화된 시스템(clustered system)", 등으로서 지칭될 수 있다.
일반적으로, 클러스터 툴은 기판 중심-확인 및 방향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈형 시스템이다. 하나 이상의 구체예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 가공 챔버들과 로드 로크 챔버(load lock chamber)들 사이 및 사이들에서 기판들을 왕복시킬 수 있는 로보트(robot)를 하우징(house)할 수 있다. 이송 챔버는 통상적으로 진공 조건에서 유지되고, 기판들을 하나의 챔버에서 다른 챔버로 및/또는 클러스터 툴의 전면 단부에 정위된 로드 로크 챔버로 왕복시키기 위한 중간 스테이지(intermediate stage)를 제공한다. 본 발명을 위해 구성될 수 있는 두 개의 널리-공지된 클러스터 툴들은 Centura® 및 Endura®로서, 둘 모두는 Applied Materials, Inc.(Santa Clara, Calif.)로부터 입수 가능하다. 그러나, 챔버들의 정확한 배열 및 조합은 본원에 기술된 바와 같이 공정의 특정 단계들을 수행할 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 가공 챔버들은 주기적 층 증착(CLD; cyclical layer deposition), 원자층 증착(ALD), 화학적 증기 증착(CVD), 물리적 증기 증착(PVD), 에치(etch), 사전-세정(pre-clean), 화학적 세정(chemical clean), 열처리, 예를 들어 RTP, 플라즈마 질화(plasma nitridation), 탈기, 배향(orientation), 하이드록실화, 및 다른 기판 공정들을 포함하지만, 이로 제한되지 않는다. 챔버의 클러스터 툴 상에서 공정들을 수행함으로써, 대기 불순물들로의 기판의 표면 오염은 후속 막을 증착시키기 전, 산화 없이 방지될 수 있다.
하나 이상의 구체예들에 따르면, 기판은 진공 또는 "로드 로크(load lock)" 조건들 하에서 연속적으로 존재하고, 하나의 챔버에서 다음 챔버로 이동될 때에 주변 공기에 노출되지 않는다. 이송 챔버들은 이에 따라 진공 하에 있고, 진공 압력 하에서 "펌프 다운(pump down)"된다. 불활성 가스들은 가공 챔버들 또는 이송 챔버들에서 존재할 수 있다. 일부 구체예들에서, 불활성 가스는 기판의 표면 상에 층을 형성시킨 후 반응물들 중 일부 또는 모두를 제거하기 위해 퍼지 가스(purge gas)로서 사용된다. 하나 이상의 구체예들에 따르면, 퍼지 가스는 반응물들이 증착 챔버에서 이송 챔버 및/또는 추가 가공 챔버로 이동하는 것을 방지하기 위해 증착 챔버의 배출구에 주입된다. 이에 따라, 불활성 가스의 흐름은 챔버의 배출구에서 커튼(curtain)을 형성한다.
기판은 단일 기판 증착 챔버들에 가공될 수 있으며, 여기서, 단일 기판은 로딩되고, 가공되고, 다른 기판이 가공되기 전에 언로딩된다(unload). 기판은 또한, 컨베이어 시스템(conveyer system)과 같은, 연속 방식으로 가공될 수 있으며, 여기서, 다수의 기판은 챔버의 제1 부분으로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 관련된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가적으로, 가공 챔버는 카로우젤(carousel)일 수 있으며, 여기서, 다수의 기판들은 중심축에 대해 이동되고, 카로우젤 경로 전반에 걸쳐 증착, 에치, 어닐링, 세정, 등의 공정들에 노출된다.
가공 동안, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은 기판 지지체의 온도를 변화시키고 기판 표면으로 가열되거나 냉각된 가스들을 흘려 보내는 것을 포함하지만 이로 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 구체예들에서, 기판 지지체는 기판 온도를 전도적으로(conductively) 변화시키기 위해 조절될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 구체예들에서, 사용되는 가스들(반응성 가스들 또는 불활성 가스들 중 어느 하나)은 기판 온도를 국부적으로 변화시키기 위해 가열되거나 냉각된다. 일부 구체예들에서, 가열기/냉각기는 기판 온도를 대류적으로(convectively) 변화시키기 위해 기판 표면에 인접한 챔버 내에 정위된다.
기판은 또한, 가공 동안 고정되거나 회전될 수 있다. 회전하는 기판은 연속적으로 또는 개별 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 공정에 걸쳐 회전될 수 있거나, 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에 소량만큼 회전될 수 있다. 가공 동안 (연속적으로 또는 단계별로) 기판을 회전시키는 것은 예를 들어, 가스 흐름 기하학적 구조의 국부적 가변성의 효과를 최소화함으로써 더욱 균일한 증착 또는 에치를 생성하는데 도움을 줄 수 있다.
기판 및 챔버는 전구체, 보조-시약, 등의 흐름을 정지시킨 후 퍼지 단계에 노출될 수 있다. 본원에 기술된 임의의 양태들의 하나 이상의 구체예들에서, 퍼지 가스는 임의의 전구체들이 기판 표면으로 흘려 보내거나/노출된 후에, 흘려 보낼 수 있다. 퍼지 가스는 약 10 sccm 내지 약 2,000 sccm, 예를 들어, 약 50 sccm 내지 약 1,000 sccm, 및 특정 예에서, 약 100 sccm 내지 약 500 sccm, 예를 들어, 약 200 sccm의 범위 내의 유량으로 가공 챔버에 투여될 수 있다. 퍼지 단계는 가공 챔버 내에서 임의의 과량의 전구체, 부산물들 및 다른 오염물들을 제거한다. 퍼지 단계는 약 0.1초 내지 약 8초, 예를 들어, 약 1초 내지 약 5초, 및 특정 예에서, 약 4초 범위 내의 시간 동안 수행될 수 있다. 운반 가스, 퍼지 가스, 증착 가스, 또는 다른 공정 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 함유할 수 있다. 일 예에서, 운반 가스는 질소를 포함한다.
본 명세서 전반에 걸쳐서 "일 구체예," "특정 구체예들," "하나 이상의 구체예들" 또는 "구체예"에 대한 언급은 구체예와 관련하여 기술된 특별한 특성, 구조, 물질, 또는 특징이 본 발명의 적어도 하나의 구체예에 포함됨을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 곳에서 "하나 이상의 구체예들에서," "특정 구체예들에서," "일 구체예에서" 또는 "구체예에서"와 같은 구들의 표현은 반드시 본 발명의 동일한 구체예를 지칭하는 것은 아니다. 또한, 특별한 특성들, 구조들, 물질들, 또는 특징들은 하나 이상의 구체예들에서 임의의 적합한 방식으로 결합될 수 있다.
본원에서 본 발명이 특정 구체예들을 참조로 하여 기술되었지만, 이러한 구체예들이 단지 본 발명의 원리들 및 적용들을 예시하는 것으로 이해된다. 당업자에게, 다양한 개질예들 및 변형예들이 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장치로 이루어질 수 있다는 것은 자명할 것이다. 이에 따라, 본 발명이 첨부된 청구범위 및 이들의 균등물들의 범위 내에 있는 개질예들 및 변형예들을 포함하는 것으로 의도된다.
실시예들
실시예 1 - SiO 증착
디실록산 및 원격 플라즈마-활성화된 NH3을 사용하여 본 발명의 하나 이상의 구체예들에 따라 막을 증착하였다. 디실록산, NH3, Ar, 및 He 유량들을 각각 400 내지 500, 10 내지 50, 400 내지 600, 50 내지 150 sccm로부터 변경하였다. 증착시 막들의 굴절률(RI)은 1.48이었다. 도 1은 예시적인 증착된 막의 푸리에 변환 적외선(FTIR) 스펙트럼들을 도시한 것이다. 도면에서 알 수 있는 바와 같이, SiO, SiN, SiH, 및 NH 피크들이 뚜렷이 두드러진다. 두 가지 타입의 SiH 결합 스트레칭이 존재하는데, 하나는 2175 cm-1에서 존재하며, 쇼울더 피크(shoulder peak)는 2238 cm-1에서 존재한다. 후자의 피크는 더욱 네트워크-유사인 환경(more network-like environment)에 있는 SiH 결합들로부터 비롯된 것이며, 2175 cm-1에서의 피크는 덜 네트워크-유사인 환경(less network-like environment)에 있는 SiH 결합들로부터 비롯된 것이다. 3374 cm-1에서의 NH 스트레칭은 SiON 네트워크에 부착된 NH 결합들로부터 비롯된 것이다.
실시예 2 - SiO 막의 에이징
디실록산 및 원격 플라즈마-활성화된 NH3을 사용하여 본 발명의 하나 이상의 구체예들에 따라 막을 증착하였다. 이러한 막을 주변 조건들(실온, 대기압, 공기 하)을 유지시킴으로써 4일 동안 에이징하였다. 도 2는 증착시 막뿐만 아니라 4일 동안 에이징 후의 막의 FTIR 스펙트럼들을 도시한 것이다. 도면으로부터 알 수 있는 바와 같이, 4일의 에이징 후에, SiH 및 NH 피크들이 감소되었다. 반대로, SiO 및 SiN 피크들은 4일 후에 증가되었다. 오른쪽에서 왼쪽으로의 SiH 피크의 이동, NH 피크의 감소, SiO 및 SiN 피크들의 증가는 에이징될 때 막이 더욱 네트워크를 형성함을 나타낸다. 이에 따라, SiH의 존재로 인해 기대되는 바와 같이, 막들은 시간이 지남에 따라 에이징되어, 막들의 수축 및 RI의 감소를 야기시킨다.
막의 굴절률(RI) 및 수축률을 측정하였고, 표 1에 나타내었다. 표로부터 알 수 있는 바와 같이, 증착시 막의 수축률 및 RI는 4일에 걸쳐 변한다. RI는 1.48에서 1.45로 떨어지고, 수축률은 4일 동안 2에서 6.8로 증가한다.
표 1:
Figure pct00003
실시예 3 - 비교 SiO 막
비교 막을 원격 플라즈마-활성화된 NH3/O2와 함께 트리메틸실릴 아민(TSA)을 사용하여 증착하였다("TSA 막"으로서 지칭됨). 박막에 대한 FTIR 스펙트럼들뿐만 아니라 실시예 1의 막에 대한 FTIR 스펙트럼들의 비교는 도 3에 도시되어 있다. 도면으로부터 알 수 있는 바와 같이, 증착시 TSA 막은 뚜렷이 두드러진 SiO 및 SiN 피크들을 가지지 않으며, 본 발명의 막은 뚜렷이 두드러진 SiO 및 SiN 피크들을 갖는다. 또한, TSA 막은 매우 뚜렷이 두드러진 SiH 피크를 갖는데, 이는 SiO+SiN/SiH의 비율이 TSA 막에서보다 본 발명의 막에서 더 높음을 의미한다. 이러한 비율은, 디실록산이 매우 반응성인 SiH 결합들을 덜 가지고 있기 때문에, 본 발명의 막이 TSA 막보다 더욱 안정적임을 시사한다.
증착시 TSA 막은 1.6의 RI를 갖는다. 상기에서 논의된 바와 같이, 본 발명의 막은 1.48의 RI를 갖는데, 이는 순수한 SiO 막들에 더 가깝다. 이러한 결과는, 본 발명의 막이 TSA를 사용하여 증착된 것보다 순수한 SiO 막들에 더욱 유사한 특징을 갖는다는 것을 지시하는 것이다.
실시예 4 - 스팀 어닐링의 효과
디실록산 및 원격 플라즈마-활성화된 NH3을 사용하여 본 발명의 하나 이상의 구체예들에 따라 막을 증착하였다. 이러한 막의 FTIR은 도 4에 도시되어 있다. 이러한 막을 이후에 주변 조건들(실온, 대기압, 공기 하) 하에서 유지시킴으로써 10일 동안 에이징하였다. 에이징 후 막의 FTIR은 도 5에 도시되어 있다. 막을 또한, 10일의 에이징 후에 500℃에서 스팀 어닐링하였다. 어닐링 후 막의 FTIR은 도 6에 도시되어 있다. 도면들에서 알 수 있는 바와 같이, 스팀 어닐링 후에, 단지 순수한 SiO 막들에 해당하는 피크들만이 나타날 수 있다.
상기에 따른 여러 막들의 스팀 어닐링 실험들을 수행하여 증착 온도에 따른 어닐링된 막의 WER 및 수축률을 결정하였다. 결과들은 도 7에 요약되어 있다. 도면에 나타낸 바와 같이, 증착 온도가 더 높을 때, WER 및 수축률은 더 낮다. 이러한 막들은 3.5 내지 5 범위의 WERR 및 22 내지 28% 범위의 수축률을 갖는다.
도 8a 내지 도 8d는 스팀 어닐링 및 묽은 불화수소산(DHF) 데코레이션(decoration)의 효과를 나타낸, 주사 전자 현미경(SEM) 이미지들을 도시한 것이다. 도 8a는 어닐링 또는 DHF 딥(dip) 없이 증착시 53℃에서 디실록산 및 원격 플라즈마-활성화된 NH3으로 증착된 막의 SEM 이미지이다. 도 8b 내지 도 8d는 스팀 어닐링 및 1분의 DHF 딥 후에, 각각 -1, 24 및 53℃에서 디실록산 및 원격 NH3 플라즈마로 증착된 막들을 도시한 것이다. 도면들로부터 알 수 있는 바와 같이, 53℃에서 증착된 막에 대하여, 트렌치들에서의 막은 DHF에서 일부 잔류하였으며, 보다 낮은 온도에서 증착된 다른 막들은 DHF에서 에칭된다. 이러한 결과들은, 보다 높은 증착 온도들이 보다 양호한 막 품질들을 제공함을 시사한다.
실시예 5 - SiN 증착
SiN을 포함한 막들을 반응성 가스로서 원격 플라즈마-활성화된 NH3 또는 NH3/O2 중 어느 하나와 함께 Si-함유 전구체로서 N,N'-디실릴트리실라잔을 사용하여 증착하였다. 유동성 막들을 0.9 내지 1.2 Torr 범위의 압력 하에서 40 내지 -60℃에서 증착시켰다. N,N'-디실릴트리실라잔, NH3, O2, Ar, 및 He 유량들을 각각 0.2 내지 0.4 g/분, 55 내지 85, 7 내지 10, 560 내지 725, 700 내지 800 sccm으로부터 변경하였다. 증착시 막들의 RI은 1.58이었다.
원격 플라즈마-활성화된 NH3 및 NH3/O2로부터의 증착시 막들의 통상적인 FTIR은 도 9에 도시되어 있다. 단지 NH3 막의 FTIR에서, SiN, SiH, 및 NH 피크들은 뚜렷이 두드러지며, SiO에 대하여 1000 cm-1에서 SiH 피크에 쇼울더가 존재한다. NH3/O2 막에서, SiN 피크는 현저하게 더 낮으며, SiO에 대한 쇼울더는 단지 NH3 막에서보다 약간 더 높다. 이에 따라, NH3이 사용될 때, 막은 SiO보다 더 많은 SiN을 갖는다.
실시예 6 - 비교 SiN 막
비교 막을 TSA 및 NH3을 사용하여 증착하였다. NH3을 원격 플라즈마 활성화하였다. 이러한 막에 대한 FTIR 스펙트럼들은, 실시예 5에서의 N,N'-디실릴트리실라잔/NH3 막에 대한 FTIR 데이터와 함께, 도 10에 도시되어 있다. 도면에서 알 수 있는 바와 같이, TSA 막에서보다 N,N'-디실릴트리실라잔 막에서 SiN 피크 강도는 더 높고 SiH 강도는 더 낮다. 막에서 보다 많은 양의 SiN의 존재는 SiN 막으로 변환할 때 유리하다. 보다 적은 양의 SiH는 N,N'-디실릴트리실라잔으로부터 얻어진 막들이 보다 덜 반응적이고 이는 더 적은 수축률을 야기시킨다는 것을 시사한다.
유사하게, TSA 및 NH3/O2 및 N,N'-디실릴트리실라잔/NH3/O2를 사용하여 증착된 막의 FTIR의 비교는 도 11에 도시되어 있다. 이러한 스펙트럼들은 N,N'-디실릴트리실라잔으로부터 얻어진 막의 보다 낮은 SiH 및 보다 높은 SiN 피크 강도들을 나타내는데, 이는 또한, N,N'-디실릴트리실라잔이 TSA보다 SiN 유동성 막들에 대해 더 우수한 전구체임을 나타낸다.
실시예 7 - SiN 막 및 비교 막의 에이징
TSA 및 원격 플라즈마-활성화된 NH3/O2 혼합물을 사용하여 증착된 막을 이후에, 주변 조건들(실온, 대기압, 공기 하) 하에서 유지시킴으로써 4일 동안 에이징시켰다. 증착시 및 에이징 후 TSA 막의 FTIR 스펙트럼들은 도 12에 도시되어 있다. 도 13은 N,N'-디실릴트리실라잔 및 플라즈마-활성화된 NH3/O2 혼합물을 사용하여 증착된 막의 증착시 및 4일 에이징 후의 FTIR 데이터를 도시한 것이다.
도면들로부터 알 수 있는 바와 같이, TSA 막은 N,N'-디실릴트리실라잔 막과 비교할 때, 에이징 동안 증가된 SiO 피크 강도를 나타낸다. 이러한 결과들은, TSA 막이 N,N'-디실릴트리실라잔 막보다 더욱 빠르게 공기로부터 수분 및 O2를 흡수함을 시사한다. 또한, SiH 피크 강도의 감소는 N,N'-디실릴트리실라잔 막이 덜 반응적이기 때문에 N,N'-디실릴트리실라잔 막에서 더 낮다.
실시예 8 - SiN 막의 SEM 이미지
증착시 유동성 막의 SEM은 도 14에 도시되어 있다. 막들을 N,N'-디실릴트리실라잔 및 원격 플라즈마-활성화된 NH3/O2 혼합물을 사용하여 증착하였다.
실시예 8 - SiO 및 SiN 막들의 조성 분석
TSA, 디실록산 및 N,N'-디실릴트리실라잔 막들의 트렌치내 조성 분석들을 수행하였다. 막들의 트렌치내 조성을 분석하기 위해 TEM/EELS를 수행하였다. 도 15a 내지 도 15c는 규소, 산소 및 질소 각각의 상술된 바와 같이 제조된 디실록산 및 TSA 막의 원소 조성을 도시한 것이다. 도 16a 내지 도 16c는 상술된 바와 같이 제조된 N,N'-디실릴트리실라잔 및 TSA 막들의 조성을 도시한 것이다. 이러한 막들을 상술한 바와 같이 증착시키고, 이후에, 오존 및 UV에 의해 경화시켰다. TSA 막과 디실록산 막의 비교에서, 디실록산 막은 TSA 막보다 더 높은 Si 및 O 함량들을 갖는다. 가장 중요하게, N 함량은 거의 0이다. 이에 따라, 디실록산은 유동성 SiO 막들의 증착을 위해 TSA 전구체보다 더 양호한 Si 전구체일 수 있다. N,N'-디실릴트리실라잔으로부터 얻어진 막들은 TSA로부터 얻어진 막들과 비교하여 더 높은 Si 및 N 함량을 갖는다. 또한, O 수준은 N,N'-디실릴트리실라잔 막들에서 더 낮은데, 이는 N,N'-디실릴트리실라잔이 SiN 유동성 막들을 증착시키기 위한 더 양호한 후보물질임을 시사하는 것이다. 두 경우(디실록산 및 N,N'-디실릴트리실라잔) 모두에서, EELS 결과들은 증착시 막들의 FT-IR 데이터와 유사하다.

Claims (15)

  1. SiO 또는 SiN을 포함하는 막을 증착시키는 방법으로서,
    기판 표면을 실록산 또는 실라잔 전구체에 노출시키고;
    기판 표면을 플라즈마-활성화된 공반응물(plasma-activated co-reactant)에 노출시켜 SiON 중간체 막을 제공하고;
    SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고;
    경화된 중간체 막을 어닐링하여 SiO 또는 SiN을 포함하는 막을 제공하는 것을 포함하는 방법.
  2. 제1항에 있어서, 방법이 유동성 화학적 증기 증착 공정(flowable chemical vapor deposition process)인 방법.
  3. 제1항에 있어서, 공반응물이 NH3 및/또는 O2를 포함하는 방법.
  4. 제1항에 있어서, 기판 표면이 실록산 전구체에 노출되며, 증착된 막이 SiO를 포함하는 방법.
  5. 제4항에 있어서, 어닐링이 스팀 어닐링(steam annealing)을 포함하는 방법.
  6. 제4항에 있어서, 실록산 전구체가
    Figure pct00004
    로 이루어진 군으로부터 선택되는 방법.
  7. 제6항에 있어서, 실록산 전구체가 디실록산을 포함하는 방법.
  8. 제1항에 있어서, 기판 표면이 실라잔 전구체에 노출되며, 증착된 막이 SiN을 포함하는 방법.
  9. 제8항에 있어서, 어닐링이 NH3 어닐링을 포함하는 방법.
  10. 제8항에 있어서, 실라잔 전구체가
    Figure pct00005
    로 이루어진 군으로부터 선택되는 방법.
  11. 제10항에 있어서, 실라잔 전구체가 N,N'-디실릴트리실라잔을 포함하는 방법.
  12. SiO를 포함하는 막을 증착시키는 방법으로서,
    기판 표면을 디실록산을 포함하는 실록산 전구체에 노출시키고;
    기판 표면을 원격 플라즈마-활성화된 NH3에 노출시켜 SiON 중간체 막을 제공하고;
    오존의 존재 하에 SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고;
    경화된 중간체 막을 스팀 어닐링하여 SiO를 포함하는 막을 제공하는 것을 포함하는 방법.
  13. 제12항에 있어서, 방법이 유동성 화학적 증기 증착 공정인 방법.
  14. SiN을 포함하는 막을 증착시키는 방법으로서,
    기판 표면을 N,N'-디실릴트리실라잔을 포함하는 실라잔 전구체에 노출시키고;
    기판 표면을 원격 플라즈마-활성화된 NH3 및/또는 O2에 노출시켜 SiON 중간체 막을 제공하고;
    SiON 중간체 막을 UV 경화시켜 경화된 중간체 막을 제공하고;
    경화된 중간체 막을 NH3 어닐링하여 SiN을 포함하는 막을 제공하는 것을 포함하는 방법.
  15. 제14항에 있어서, 방법이 유동성 화학적 증기 증착 공정인 방법.
KR1020187014250A 2015-10-22 2016-10-19 SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들 KR20180058232A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562244791P 2015-10-22 2015-10-22
US62/244,791 2015-10-22
PCT/US2016/057673 WO2017070192A1 (en) 2015-10-22 2016-10-19 METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN

Publications (1)

Publication Number Publication Date
KR20180058232A true KR20180058232A (ko) 2018-05-31

Family

ID=58558043

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187014250A KR20180058232A (ko) 2015-10-22 2016-10-19 SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들

Country Status (6)

Country Link
US (1) US20170114465A1 (ko)
JP (1) JP6929279B2 (ko)
KR (1) KR20180058232A (ko)
CN (1) CN108140555B (ko)
TW (1) TWI713608B (ko)
WO (1) WO2017070192A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107729934A (zh) * 2017-10-11 2018-02-23 安徽理工大学 一种基于k‑最近邻混合分类的瓦斯灾害预测方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN111684566A (zh) * 2018-01-26 2020-09-18 应用材料公司 用于氮化硅薄膜的处理方法
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
EP3807446A4 (en) * 2018-06-15 2022-03-30 Versum Materials US, LLC SILOXANE COMPOSITIONS AND METHODS OF USING THE COMPOSITIONS TO DEPOSIT SILICON-CONTAINING FILMS
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202107377VA (en) 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2022537057A (ja) * 2019-06-21 2022-08-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜堆積のための組成物およびそれを用いた方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11823907B2 (en) * 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11745453B2 (en) * 2020-03-05 2023-09-05 Continental Autonomous Mobility US, LLC Method of making and using a reusable mold for fabrication of optical elements
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US12004431B2 (en) 2020-10-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114759027A (zh) * 2021-01-08 2022-07-15 长鑫存储技术有限公司 半导体结构及其形成方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116183535B (zh) * 2023-04-23 2023-08-29 中国科学技术大学 分析高分子溶液陈化过程光谱的方法、系统、设备及介质

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100519514B1 (ko) * 1999-07-02 2005-10-07 주식회사 하이닉스반도체 TaON박막을 갖는 커패시터 제조방법
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8728958B2 (en) * 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
TW201443274A (zh) * 2013-03-14 2014-11-16 Applied Materials Inc 使用二矽氧烷先質之膜的沉積
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
CN104377165B (zh) * 2013-08-12 2017-11-17 上海和辉光电有限公司 平板显示器及其柔性基板和制作方法
KR102339803B1 (ko) * 2014-01-24 2021-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화제 없이 규소 및 산-함유 막들을 증착시키는 방법
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476419B2 (en) 2019-08-16 2022-10-18 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a low-k dielectric material layer

Also Published As

Publication number Publication date
CN108140555B (zh) 2024-03-15
CN108140555A (zh) 2018-06-08
JP2018533215A (ja) 2018-11-08
JP6929279B2 (ja) 2021-09-01
WO2017070192A1 (en) 2017-04-27
TWI713608B (zh) 2020-12-21
US20170114465A1 (en) 2017-04-27
TW201728777A (zh) 2017-08-16

Similar Documents

Publication Publication Date Title
JP6929279B2 (ja) SiOおよびSiNを含む流動性膜を堆積させる方法
US9984868B2 (en) PEALD of films comprising silicon nitride
US20180025907A1 (en) Deposition Of Flowable Silicon-Containing Films
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
JP2017531920A (ja) 高温酸化ケイ素原子層堆積技術
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
US20170213726A1 (en) Acetylide-Based Silicon Precursors And Their Use As ALD/CVD Precursors
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
US11107674B2 (en) Methods for depositing silicon nitride
US10804094B2 (en) Methods of depositing SiCON with C, O and N compositional control
US9957165B2 (en) Precursors suitable for high temperature atomic layer deposition of silicon-containing films
US9200365B2 (en) Method of catalytic film deposition
WO2014152826A1 (en) Deposition of films using disiloxane precursors
US11978625B2 (en) Methods of forming metal nitride films
US20230142684A1 (en) Single Precursor Low-K Film Deposition and UV Cure for Advanced Technology Node
KR20240090473A (ko) 금속 질화물 막들을 형성하는 방법들
KR20220062111A (ko) 할로겐화된 실릴아미드들을 사용하여 SiCO(N)를 원자층 증착하는 방법들
KR20220038099A (ko) 유동성 cvd 막에 대한 표면 거칠기

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right