JP6929279B2 - SiOおよびSiNを含む流動性膜を堆積させる方法 - Google Patents

SiOおよびSiNを含む流動性膜を堆積させる方法 Download PDF

Info

Publication number
JP6929279B2
JP6929279B2 JP2018520080A JP2018520080A JP6929279B2 JP 6929279 B2 JP6929279 B2 JP 6929279B2 JP 2018520080 A JP2018520080 A JP 2018520080A JP 2018520080 A JP2018520080 A JP 2018520080A JP 6929279 B2 JP6929279 B2 JP 6929279B2
Authority
JP
Japan
Prior art keywords
film
membrane
substrate
deposition
sin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018520080A
Other languages
English (en)
Other versions
JP2018533215A (ja
Inventor
ラクマル カルタラゲ
ラクマル カルタラゲ
マーク サリー
マーク サリー
デイヴィッド トンプソン
デイヴィッド トンプソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018533215A publication Critical patent/JP2018533215A/ja
Application granted granted Critical
Publication of JP6929279B2 publication Critical patent/JP6929279B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、一般に、薄膜を堆積させる方法に関する。詳細には、本発明は、Si含有膜の流動性化学気相堆積に関する。
基板表面上の薄膜の堆積は、半導体処理を含む様々な産業、拡散バリアコーティング、および磁気読取り/書込みヘッドのための誘電体において重要なプロセスである。特に、半導体産業では、微細化は、高アスペクト構造上に共形のコーティングを生成するために薄膜堆積の高レベル制御から恩恵を受ける。相対的制御による薄膜の堆積および共形堆積のための1つの方法は、化学気相堆積(CVD)である。CVDは、基板(例えば、ウエハ)を1つまたは複数の前駆体に曝し、この前駆体が反応して膜を基板上に堆積させることを含む。流動性化学気相堆積(FCVD)は、特に間隙充填用途のための流動性膜の堆積を可能にする一種のCVDである。
SiOおよびSiNの流動性膜は、間隙充填用途に利用される。現在、そのような膜は、共反応体として、ラジカルな形態のNH3/O2を用いてトリシリルアミン(TSA)によって生成される。SiO膜は、3の湿式エッチング速度比(WER)を有する。しかしながら、一般に間隙充填用途には2未満のWERが目標とされる。TSAプロセスから得られた堆積直後(as-deposited)の膜は、主成分としてSiとNを含み、微量成分としてOを有する。
商業的に実行可能な、流動性特性ならびに低WERRの両方を示す新しい堆積化学作用が必要である。本発明の態様は、堆積プロセスを利用するために特に設計され、最適化された新規の化学作用を提供することによって、この問題に対処する。SiOおよびSiNを含む流動性膜の堆積のための新しい化学作用が特に必要である。
本発明の一態様は、SiOまたはSiNを含む膜を堆積させる方法であって、基板表面をシロキサンまたはシラザンの前駆体に曝すステップと、基板表面をプラズマ活性化共反応体に曝してSiON中間膜をもたらすステップと、SiON中間膜をUV硬化させて、硬化させた中間膜をもたらすステップと、硬化させた中間膜をアニールして、SiOまたはSiNを含む膜をもたらすステップと、を含む方法に関する。
本発明の別の態様は、SiOを含む膜を堆積させる方法であって、基板表面を、ジシロキサンを含むシロキサン前駆体に曝すステップと、基板表面を遠隔プラズマ活性化NH3に曝してSiON中間膜をもたらすステップと、SiON中間膜をオゾンの存在下でUV硬化させて、硬化させた中間膜をもたらすステップと、硬化させた中間膜を蒸気アニールして、SiOを含む膜をもたらすステップと、を含む方法に関する。
本発明の別の態様は、SiNを含む膜を堆積させる方法であって、基板表面を、N,N’−ジシリルトリシラザンを含むシラザン前駆体に曝すステップと、基板表面を遠隔プラズマ活性化NH3および/またはO2に曝してSiON中間膜をもたらすステップと、SiON中間膜をUV硬化させて、硬化させた中間膜をもたらすステップと、硬化させた中間膜をNH3アニールして、SiNを含む膜をもたらすステップと、を含む方法に関する。
本発明の上記の特徴を詳細に理解することができるように、一部が添付図面に示される実施形態を参照することによって上で要約された本発明をより詳細に記載することができる。しかしながら、添付された図面は、本発明の典型的な実施形態のみを示し、その範囲を限定すると考えられるべきではなく、その理由は、本発明が他の等しく効果的な実施形態を受け入れることができるためであることに留意されたい。
本発明の1つまたは複数の実施形態により堆積させた膜のFTIRスペクトルである。 本発明の1つまたは複数の実施形態により堆積させた膜の、4日間のエイジング後のFTIRスペクトルである。 本発明の1つまたは複数の実施形態により堆積させた膜と比較膜のFTIRスペクトルの比較である。 本発明の1つまたは複数の実施形態により堆積させた膜のFTIRスペクトルである。 10日間のエイジング後の本発明の1つまたは複数の実施形態により堆積させた膜のFTIRスペクトルである。 蒸気アニーリング後の本発明の1つまたは複数の実施形態により堆積させた膜のFTIRスペクトルである。 本発明の1つまたは複数の実施形態により堆積させた膜の湿式エッチング比および収縮率のグラフである。 ある条件で本発明の1つまたは複数の実施形態により堆積させた膜の走査電子顕微鏡画像である。 ある条件で本発明の1つまたは複数の実施形態により堆積させた膜の走査電子顕微鏡画像である。 ある条件で本発明の1つまたは複数の実施形態により堆積させた膜の走査電子顕微鏡画像である。 ある条件で本発明の1つまたは複数の実施形態により堆積させた膜の走査電子顕微鏡画像である。 本発明の1つまたは複数の実施形態により堆積させた2つの膜のFTIRスペクトルである。 本発明の1つまたは複数の実施形態により堆積させた膜と比較膜のFTIRスペクトルの比較である。 本発明の1つまたは複数の実施形態により堆積させた膜と比較膜のFTIRスペクトルの比較である。 堆積直後のおよび4日間のエイジング後の比較膜のFTIRスペクトルの比較である。 本発明の1つまたは複数の実施形態により堆積させた、堆積直後のおよび4日間のエイジング後の膜のFTIRスペクトルの比較である。 本発明の1つまたは複数の実施形態により堆積させた膜の走査電子顕微鏡画像である。 本発明の1つまたは複数の実施形態により堆積させた膜および比較膜のトレンチ内組成を示すグラフである。 本発明の1つまたは複数の実施形態により堆積させた膜および比較膜のトレンチ内組成を示すグラフである。 本発明の1つまたは複数の実施形態により堆積させた膜および比較膜のトレンチ内組成を示すグラフである。 本発明の1つまたは複数の実施形態により堆積させた膜および比較膜のトレンチ内組成を示すグラフである。 本発明の1つまたは複数の実施形態により堆積させた膜および比較膜のトレンチ内組成を示すグラフである。 本発明の1つまたは複数の実施形態により堆積させた膜および比較膜のトレンチ内組成を示すグラフである。
本発明のいくつかの例示的な実施形態を記載する前に、本発明は、以下の記載で述べる構造またはプロセスステップの詳細に限定されないことを理解されたい。本発明は、他の実施形態が可能であり、様々な仕方で実施または実行されてもよい。図示する構造は、示された化学式を有するそのような錯体および配位子をすべて包含することが意図されている。
驚くことに、流動性化学気相(FCVD)プロセスにおいてシロキサンまたはシラザンの前駆体を使用して、高品質の流動性膜を得ることができることが見出された。これらの前駆体は、プラズマから生成されたラジカルな形態の共反応体と共に使用される。膜は、低WERRおよび低収縮比の有利な効果を有する。本結果は、ジシロキサンの非常に高い反応性を考えると、ジシロキサンを利用する実施形態にとって特に驚くべきことである。これらの膜の優れた特性のために、膜は、間隙充填用途に特に適している。特に、膜の流動性は、間隙の充填を可能にする。
1つまたは複数の実施形態において、シロキサンまたはシラザンの前駆体をCVDチャンバに気化させ、共反応体(例えば、Arの有無にかかわらずNH3のみまたはNH3/O2)を、遠隔プラズマ源を介してチャンバに送出し、これによって共反応体としてプラズマ活性核種を生成する。プラズマ活性化共反応体分子(ラジカル)は、高エネルギーを有し、気相のSi含有前駆体分子と反応して流動性SiONポリマーを形成する。これらのポリマーは、ウエハ上に堆積し、その流動性のために、ポリマーは、トレンチを通って流れ、間隙充填を行う。次いで、これらの膜は、硬化処理(例えば、O3および/またはUV)およびアニーリング(例えば、蒸気またはNH3)を受ける。
一部の実施形態では、流動性ポリマーを生成するための直接プラズマ。その場合、シロキサンまたはシラザンの前駆体をCVDチャンバに気化させることができ、プラズマがオンにされている間に、共反応体(例えば、N2、Ar、NH3、O2の任意の組合せ、または単一の共反応体)をチャンバに送出する。一部の実施形態では、気化させたシリコン前駆体を処理チャンバに流入させ、共反応体の有無にかかわらずプラズマがオンにされるように、流動性膜を直接プラズマから堆積させる。
したがって、本発明の一態様は、SiOまたはSiNを含む膜を堆積させる方法に関する。1つまたは複数の実施形態において、本方法は、基板表面をシロキサンまたはシラザンの前駆体に曝すステップと、基板表面をプラズマ活性化共反応体に曝してSiON中間膜をもたらすステップと、SiON中間膜をUV硬化させて、硬化させた中間膜をもたらすステップと、硬化させた中間膜をアニールして、SiOまたはSiNを含む膜をもたらすステップと、を含む。1つまたは複数の実施形態において、本方法は、流動性化学気相堆積プロセスである。
シロキサンおよびシラザンは両方とも、シリコンおよび酸素または窒素の供給源として働くSi含有前駆体である。基板表面に曝すために、シロキサンまたはシラザンの前駆体を化学気相堆積(CVD)チャンバ内で気化させる。
一部の実施形態では、前駆体は、シロキサン前駆体である。結果として得られる膜は、シロキサン前駆体が使用される実施形態ではSiOを含む。本明細書で使用されるように、「シロキサン」とは、少なくとも1つのSi−O−Si官能基を有する化合物を指す。1つまたは複数の実施形態において、シロキサンは、分枝、環式、または直鎖であってもよい。一部の実施形態では、シロキサンは、複数のSi−O−Si官能基を有してもよい。1つまたは複数の実施形態において、シロキサンは、他の元素を有さない。例えば、1つまたは複数の実施形態において、シロキサン前駆体は、式(I)〜(IX)から選択される。
Figure 0006929279
さらなる実施形態において、シロキサン前駆体は、式(I)の構造を有するジシロキサンを含む。
1つまたは複数の実施形態において、前駆体は、シラザン前駆体である。結果として得られる膜は、シラザン前駆体が使用される実施形態ではSiNを含む。本明細書で使用されるように、「シラザン」とは、少なくとも1つのSi−N−Si官能基を有する化合物を指す。1つまたは複数の実施形態において、シロキサンは、分枝、環式、直鎖であってもよい。一部の実施形態では、シラザンは、複数のSi−N−Si官能基を有してもよい。1つまたは複数の実施形態において、シラザンは、他の元素を有さない。例えば、一部の実施形態では、シラザン前駆体は、以下の群から選択される。
Figure 0006929279
1つまたは複数の実施形態において、シラザン前駆体は、式(X)の構造を有するN,N’−ジシリルトリシラザンを含む。
上で論じたように、基板表面は、プラズマ活性化共反応体に曝される。一部の実施形態では、共反応体は、NH3、O2、およびそれらの組合せからなる群から選択される。共反応体は、Ar、He、および/またはN2のうちの1つまたは複数を含んでもよい。また、プラズマ活性化共反応体は、使用される共反応体に応じて、窒素および/または酸素を膜に送出する。シロキサン前駆体に関する一部の実施形態では、共反応体は、NH3を含む。シラザン前駆体に関する一部の実施形態では、共反応体は、NH3とO2の混合物またはNH3のみを含む。
一部のプロセスでは、プラズマの使用は、表面反応が期待でき、見込めるようになる励起状態に核種を促進するのに十分なエネルギーを提供する。プロセスへのプラズマの導入は、連続的またはパルス的であってもよい。一部の実施形態では、前駆体(または反応性ガス)およびプラズマの連続するパルスは、層を処理するために使用される。一部の実施形態では、試薬は、直接(すなわち、処理領域内部で)または遠隔で(すなわち処理領域外で)イオン化されてもよい。一部の実施形態では、遠隔イオン化は、イオン、または他のエネルギーもしくは光を放出する核種が、堆積膜と直接接触しないように、堆積チャンバの上流で行われることがある。一部のプラズマ促進プロセスでは、プラズマは、遠隔プラズマ発生システムなどによって処理チャンバの外部で生成される。プラズマは、当業者に知られている任意の適切なプラズマ生成プロセスまたは技法を介して生成されてもよい。例えば、プラズマは、マイクロ波(MW)周波数発生装置または高周波(RF)発生装置の1つまたは複数によって生成されてもよい。プラズマの周波数は、使用される特定の反応性核種に応じて調整されてもよい。適切な周波数は、限定されないが、2MHz、13.56MHz、40MHz、60MHzおよび100MHzを含む。
1つまたは複数の実施形態において、共反応体は、遠隔プラズマ源を介して、気化させたシロキサンまたはシラザンの前駆体を含むCVDチャンバに送出され、これによって共反応体としてプラズマ活性核種を生成する。代替の実施形態では、流動性ポリマーを生成するための直接プラズマ。
一部の実施形態では、基板は、必要に応じて、前駆体およびプラズマ活性化共反応体に、連続的に同時に、または実質的に同時に曝されてもよい。本明細書で使用されるように、用語「実質的に同時に」とは、1つの成分の流れの大部分は、別の成分の流れと重なるが、同時に流れていない多少の時間があってもよいことを意味する。代替の実施形態において、基板表面を2つ以上の前駆体と接触させることが、連続して、または実質的に連続して行われる。本明細書で使用されるように、「実質的に連続して」とは、1つの成分の流れの大部分は、別の成分の流れと同時には生じないが、多少の重なりがあってもよいことを意味する。
本明細書全体にわたって使用されるような「基板」は、製造プロセス中に膜処理が行われる任意の基板、または基板上に形成される材料の表面を指す。例えば、処理を行うことができる基板表面は、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、カーボンドープされた酸化ケイ素、窒化ケイ素、ドープドシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイアなどの材料、ならびに金属、窒化金属、金属合金および他の導電性材料などの任意の他の材料を含む。基板は、限定することなく、半導体ウエハを含む。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニール、および/または焼成するための前処理プロセスに曝されてもよい。基板は、ノードデバイス構造(例えば、32nm、22nmまたは20nm未満)を含むことができ、トランジスタ分離、様々な集積化された犠牲スペーサ、および側壁スペーサダブルパターニング(SSDP)リソグラフィを含むことができる。1つまたは複数の実施形態において、基板は、少なくとも1つの間隙を含む。基板は、基板上に形成された間隔開けのための複数の間隙およびデバイス構成要素(例えば、トランジスタ)の構造を有することができる。間隙は、1:1よりも著しく大きい(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上などの)、高さと幅のアスペクト比(AR)(すなわち、H/W)を規定する高さおよび幅を有することができる。多くの場合、高ARは、約90nm〜約22nm以下(例えば、約90nm、65nm、45nm、32nm、22nm、16nmなど)の範囲にある小さな間隙幅に起因する。
基板自体の表面上で直接膜処理することに加えて、本発明では、開示された膜処理ステップのいずれもが、以下でより詳細に開示されるように、基板上に形成された下層上で行われてもよく、用語「基板表面」は、文脈が示すようなそのような下層を含むことが意図されている。
上記の反応のいずれかの1つまたは複数の実施形態において、堆積反応のための反応条件は、膜前駆体および基板表面の特性に基づいて選択される。堆積は、大気圧で実行されてもよいが、減圧させた圧力で実行されてもよい。試薬の蒸気圧は、そのような用途において実用的となるのに十分低くなければならない。基板温度は、基板表面の結合を完全に保ち、ガス状反応体の熱分解を防止するのに十分低くなければならない。しかしながら、基板温度は、気相で膜前駆体を維持し、表面反応のために十分なエネルギーを提供するのに十分高くもなければならない。特定の温度は、特定の基板、膜前駆体、および圧力に依存する。特定の基板、膜前駆体などの特性は、反応に対する適切な温度および圧力の選択を可能にする当技術分野で知られている方法を使用して評価されてもよい。一部の実施形態では、圧力は、約6.0、5.0、4.0、3.0、2.6、2.0、または1.6Torr未満である。1つまたは複数の実施形態において、堆積は、約200、175、150、125、100、75℃を下回り、および/または約−1、0、23、50または75℃を上回る温度で実行される。
基板がシロキサンまたはシラザンの前駆体およびプラズマ活性化共反応体に曝された後の堆積膜は、SiONを含む(「SiON中間膜」と呼ばれる)。一般に、堆積直後の膜は、Si−H、Si−OHおよびN−Hなどの、ネットワークが少なく、ダングリングボンドが多い比較的低密度の膜である。その結果、それらのWERRは、通常、非常に高い。低WERR/高密度の膜を得るために、膜は、さらなる処理を受けて、高密度膜を得る。これらの処理中に、残りの反応性結合(例えば、SiH、NH)は、相互にまたは入って来る分子(例えば、O3、水、NH3)と反応して、より多くのネットワークを有する膜を形成する。したがって、酸素または窒素を除去して目標とする膜を実現するために、膜は、追加の硬化処理およびアニーリングプロセスを受ける。SiO膜の場合、硬化処理/アニーリング中に窒素が除去され、Oが膜に添加されてSiO膜を生成する。しかしながら、シロキサン前駆体の1つの利点は、シロキサン前駆体がSi−Oを含んでいるため、堆積直後の膜が既に膜の中に比較的多くのOを有するということである。したがって、シロキサン前駆体から得られる堆積直後の膜のSiOへの変換は、標準的なプロセス(例えば、TSAを使用するもの)から得られる膜と比較して、より容易である。その結果、シロキサン膜に用いる硬化処理/アニーリングの量を少なくすることができ、これによってウエハ処理時間を有利に節約する。同様に、シラザンによって得られるSiN膜は、TSAから得られる膜よりも堆積直後の膜に比較的多くのNが存在する。
1つまたは複数の実施形態において、硬化処理は、中間のSiON膜をオゾンおよび/または紫外線(UV)放射に曝すことを含む。さらなる実施形態において、中間のSiON膜は、SiOを含む膜を得るためにオゾンおよびUV硬化処理に曝される。他の実施形態では、中間のSiON膜は、SiONを含む膜を得るためにUV硬化処理にのみ曝される。
1つまたは複数の実施形態は、アニールプロセスも含む。一部の実施形態では、アニーリングは、蒸気アニーリングを含む。他の実施形態では、アニーリングは、NH3アニーリングを含む。
したがって、例えば、シロキサン前駆体(例えば、ジシロキサン)に関する1つまたは複数の実施形態では、SiON中間膜をオゾンおよびUVを使用して硬化させ、続いて蒸気アニーリングしてSiO膜を生成する。シラザン前駆体(例えば、N,N’−ジシリルトリシラザン)に関する一部の実施形態では、UVによって硬化させ、続いてNH3アニールによってSiN膜を生成する。
1つの例示的な実施形態では、本方法は、基板表面を、ジシロキサンを含むシロキサン前駆体に曝すステップと、基板表面を遠隔プラズマ活性化NH3に曝してSiON中間膜をもたらすステップと、SiON中間膜をオゾンの存在下でUV硬化させて、硬化させた中間膜をもたらすステップと、硬化させた中間膜を蒸気アニールして、SiOを含む膜をもたらすステップと、を含む。
さらなる実施形態では、本方法は、FCVDプロセスである。別の例示的な実施形態では、本方法は、基板表面を、N,N’−ジシリルトリシラザンを含むシラザン前駆体に曝すステップと、基板表面を遠隔プラズマ活性化NH3および/またはO2に曝してSiON中間膜をもたらすステップと、SiON中間膜をUV硬化させて、硬化させた中間膜をもたらすステップと、硬化させた中間膜をNH3アニールして、SiNを含む膜をもたらすステップと、を含む。
さらなる実施形態では、本方法は、FCVDプロセスである。本発明の別の態様は、本明細書に記載された方法によって堆積させた膜に関する。膜は、以下の例の段落で提示されたデータによって証明されるように、以前に知られていた流動性膜とは異なる。1つまたは複数の実施形態において、堆積膜は、約2未満のWERRを有する。
これらのプロセスの利点は、低い湿式エッチング速度および低収縮率を有する高密度の流動性膜を生成することである。シロキサンは、既に分子内に(多少のNを有する)Si−O結合を有し、これが堆積直後の膜中のSi−O結合となる。堆積直後の膜のSiO膜への変換は、現在知られている技法と比較して、硬化処理/アニーリング時間およびエネルギーの利用を少なくすることができる。また、堆積直後の膜中のSiOの存在は、低いWERRを有する低収縮率をもたらす。同様に、シラザンから得られる堆積直後の膜は、より多くのNを有し、これは、硬化処理/アニーリング時間およびエネルギーの使用を少なくすることができ、低収縮率および低WERRを有する膜をもたらす。これらの膜は、間隙充填用途のための特定の有用性を有する。したがって、一部の実施形態では、基板は、少なくとも1つの間隙を有し、本プロセスは、間隙を少なくとも部分的に充填する。
1つまたは複数の実施形態によると、基板は、層を形成する前および/または後に処理に曝される。この処理は、同一のチャンバで、または1つまたは複数の別々の処理チャンバで行われてもよい。一部の実施形態では、基板は、さらなる処理のために第1のチャンバから別の第2のチャンバに移される。基板は、第1のチャンバから別の処理チャンバに直接移されてもよく、あるいは第1のチャンバから1つまたは複数の移送チャンバに移され、次に、所望の別の処理チャンバに移されてもよい。したがって、処理装置は、移送ステーションと通じる複数のチャンバを備えることができる。この部類の装置は、「クラスタツール」または「クラスタ化システム」などと呼ばれることがある。
一般に、クラスタツールは、基板の中心検出および配向、ガス抜き、アニーリング、堆積および/またはエッチングを含む様々な機能を行う複数のチャンバを備えるモジュール式システムである。1つまたは複数の実施形態によると、クラスタツールは、少なくとも第1のチャンバおよび中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を行き来させることができるロボットを収納することができる。移送チャンバは、典型的には真空状態に維持され、1つのチャンバから別のチャンバへおよび/またはクラスタツールの前端部に位置するロードロックチャンバへ基板を行き来させるための中間ステージを提供する。本発明に適合させることができる2つのよく知られているクラスタツールは、Centura(登録商標)およびEndura(登録商標)であり、両方とも、カリフォルニア州、サンタクララのアプライドマテリアルズ社から入手可能である。しかしながら、チャンバの正確な配置および組合せは、本明細書に記載されるようなプロセスの特定のステップを行うために変更されてもよい。使用することができる他の処理チャンバは、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、水酸化、および他の基板プロセスを含む。クラスタツール上のチャンバでプロセスを実行することによって、大気不純物による基板の表面汚染を、後続の膜を堆積させる前の酸化なしに回避することができる。
1つまたは複数の実施形態によると、基板は、常に真空または「ロードロック」状態にあり、1つのチャンバから次のチャンバに移されるときに周囲空気に曝されない。したがって、移送チャンバは、真空下にあり、真空圧の下で「排気(pumped down)」されている。処理チャンバまたは移送チャンバ内に不活性ガスが存在してもよい。一部の実施形態では、不活性ガスは、パージガスとして使用され、基板の表面上に層を形成した後に反応物質の一部またはすべてを除去する。1つまたは複数の実施形態によると、堆積チャンバから移送チャンバおよび/またはさらなる処理チャンバへ反応物質が移動するのを防ぐために、パージガスは、堆積チャンバの出口で注入される。したがって、不活性ガスの流れは、チャンバの出口でカーテンを形成する。
基板は、別の基板が処理される前に、単一の基板が装填され、処理され、搬出される単一の基板堆積チャンバ内で処理されてもよい。また、基板は、複数の基板がチャンバの第1の部分に個々に装填され、チャンバを通って移動し、チャンバの第2の部分から搬出されるコンベヤシステムのような連続的なやり方で処理されてもよい。チャンバおよび関連付けられたコンベヤシステムの形状は、直線の経路または湾曲した経路を形成することができる。加えて、処理チャンバは、複数の基板が中心軸の周りを移動し、カルーセル経路全体にわたって堆積、エッチング、アニーリング、洗浄などのプロセスに曝されるカルーセルであってもよい。
処理中に、基板は、加熱または冷却されてもよい。そのような加熱または冷却は、限定されないが、基板支持体の温度を変更すること、および基板表面に加熱または冷却されたガスを流すことを含む任意の適切な手段によって達成されてもよい。一部の実施形態では、基板支持体は、基板温度を伝導的に変化させるように制御することができるヒータ/冷却器を含む。1つまたは複数の実施形態において、用いられるガス(反応性ガスまたは不活性ガス)は、基板温度を局所的に変化させるために加熱または冷却される。一部の実施形態では、ヒータ/冷却器は、基板温度を対流的に変化させるように、基板表面に隣接してチャンバ内部に配置される。
また、基板は、処理中に静止していても回転していてもよい。回転する基板は、連続的にまたは離散的なステップで回転させることができる。例えば、基板をプロセス全体にわたって回転させてもよく、または基板を異なる反応性ガスまたはパージガスへの暴露間に少量だけ回転させることができる。処理中に(連続的にまたは段階的に)基板を回転させることは、例えば、ガス流の幾何学形状の局所的なばらつきの影響を最小限にすることによって、より均一の堆積またはエッチングをもたらすのに役立つことがある。
基板およびチャンバは、前駆体、共試薬などの流れを停止させた後にパージステップに曝されてもよい。本明細書に記載された態様のいずれかの1つまたは複数の実施形態において、前駆体のいずれかを基板表面に流し/曝した後に、パージガスが流されてもよい。パージガスは、約10sccm〜約2,000sccm、例えば、約50sccm〜約1,000sccm、特定の例では、約100sccm〜約500sccmの範囲内の、例えば、約200sccmの流量で処理チャンバ内へ供出されてもよい。パージステップは、処理チャンバ内部のいかなる余分な前駆体、副生成物、および他の汚染物質も除去する。パージステップは、約0.1秒〜約8秒、例えば、約1秒〜約5秒の範囲内の、特定の例では、約4秒の時間行われてもよい。キャリアガス、パージガス、堆積ガス、または他のプロセスガスは、窒素、水素、アルゴン、ネオン、ヘリウムまたはそれらの組合せを含むことができる。一例において、キャリアガスは、窒素を含む。
本明細書全体にわたって「一実施形態」、「ある特定の実施形態」、「1つまたは複数の実施形態」、あるいは「ある実施形態」に対する言及は、実施形態に関連して記載される特定の特徴、構造、材料、または特性が本発明の少なくとも一実施形態に含まれることを意味する。したがって、本明細書全体にわたって様々な場所における「1つまたは複数の実施形態において」、「ある特定の実施形態において」、「一実施形態において」、または「ある実施形態において」などの語句の出現は、必ずしも同一の発明の実施形態を指しているわけではない。さらに、特定の特徴、構造、材料、もしくは特性は、1つまたは複数の実施形態において任意の適切なやり方で組み合わされてもよい。
本発明は、本明細書では特定の実施形態を参照して記載されているが、これらの実施形態は、本発明の原理および応用の単なる例示であることを理解されたい。本発明の精神および範囲から逸脱せずに、本発明の方法および装置に様々な変更ならびに変形を行うことができることは、当業者には明らかであろう。したがって、本発明は、添付された特許請求の範囲およびそれらの均等物の範囲内にある変更形態ならびに変形形態を含むことが意図されている。
(例1)
SiO堆積
ジシロキサンおよび遠隔プラズマ活性化NH3を使用して、本発明の1つまたは複数の実施形態に従って膜を堆積させた。ジシロキサン、NH3、Ar、およびHeの流量を400〜500から、10〜50、400〜600、50〜150sccmまでそれぞれ変化させた。堆積直後の膜の屈折率(RI)は、1.48であった。図1は、例示的な堆積膜のフーリエ変換赤外分光(FTIR)スペクトルを示す。図で分かるように、SiO、SiN、SiH、およびNHのピークが顕著である。2つのタイプのSiH結合伸縮があり、2175cm-1に1つ、および2238cm-1にショルダーのピークがある。後者のピークは、よりネットワーク様の環境にあるSiH結合に由来し、一方、2175cm-1のピークは、それほどネットワーク様でない環境にあるSiH結合に由来する。3374cm-1のNH伸縮は、SiONネットワークに結びついたNH結合に由来する。
(例2)
SiO膜のエイジング
ジシロキサンおよび遠隔プラズマ活性化NH3を使用して、本発明の1つまたは複数の実施形態に従って膜を堆積させた。この膜を周囲条件下(室温、大気圧、空気下)で保持することによって4日間エイジングした。図2は、堆積直後の膜、ならびに4日間のエイジング後のFTIRスペクトルを示す。図から分かるように、4日間のエイジング後に、SiHおよびNHのピークは、低下した。逆に、SiOおよびSiNのピークは、4日後に増加した。SiHピークの右から左へのシフト、NHピークの減少、SiOおよびSiNピークの増加は、膜が経時変化するとより多くのネットワークを形成することを示す。したがって、SiHの存在のために予期されるように、膜は、時間と共に経時変化し、結果として膜の収縮およびRIの低下をもたらす。
膜の屈折率(RI)および収縮率が測定され、表1に示されている。表から分かるように、堆積直後の膜の収縮率およびRIは、4日間にわたって変化している。4日間の間に、RIは、1.48から1.45に低下し、一方、収縮率は、2から6.8に増加している。
Figure 0006929279
(例3)
比較SiO膜
遠隔プラズマ活性化NH3/O2を用いてトリメチルシリルアミン(TSA)を使用して、比較膜(「TSA膜」と呼ばれる)を堆積させた。この膜に対するFTIRスペクトルと例1の膜に対するFTIRスペクトルの比較が図3に示されている。図から分かるように、堆積直後のTSA膜は、顕著なSiOおよびSiNのピークを有さないが、本発明の膜は、顕著なSiOおよびSiNのピークを有する。また、TSA膜は、非常に顕著なSiHピークを有し、このことは、SiO+SiN/SiHの比がTSA膜よりも本発明の膜の方がより高いことを意味する。この比は、ジシロキサンが非常に反応性の高いSiH結合をそれほど有さないため、本発明の膜がTSA膜よりも安定であることを示唆する。
堆積直後のTSA膜は、1.6のRIを有する。上で論じたように、本発明の膜は、1.48のRIを有し、これは、純粋なSiO膜により近い。この結果は、本発明の膜がTSAを使用して堆積させたものよりも純粋なSiO膜により類似した特性を有することを示す。
(例4)
蒸気アニールの効果
ジシロキサンおよび遠隔プラズマ活性化NH3を使用して、本発明の1つまたは複数の実施形態に従って膜を堆積させた。この膜のFTIRが図4に示されている。次いで、この膜を、周囲条件下(室温、大気圧、空気下)で保持することによって、10日間エイジングした。エイジング後の膜のFTIRが図5に示されている。また、膜は、10日間のエイジング後に500℃で蒸気アニールされた。アニール後の膜のFTIRが図6に示されている。図で分かるように、蒸気アニールの後、純粋なSiO膜に対応するピークのみを見ることができる。
堆積温度の関数としてのアニールされた膜のWERおよび収縮率を求めるために、上記に従ったいくつかの膜の蒸気アニーリング実験が実行された。結果が図7に要約されている。図に示すように、堆積温度が高くなると、WERおよび収縮率が下がる。これらの膜は、3.5〜5の範囲にあるWERR、および22〜28%の範囲にある収縮率を有する。
図8A〜図8Dは、蒸気アニールおよび希フッ酸(DHF)装飾の効果を実証する走査電子顕微鏡(SEM)画像を示す。図8Aは、アニールまたはDHF浸漬なしに、53℃でジシロキサンおよび遠隔プラズマ活性化NH3を用いて堆積させた堆積直後の膜のSEM画像である。図8B〜図8Dは、蒸気アニールおよび1分間のDHF浸漬後の、−1、24および53℃で、ジシロキサンおよび遠隔NH3プラズマを用いて堆積させた膜をそれぞれ示す。図から分かるように、53℃で堆積させた膜については、トレンチ内の膜は、DHFで部分的に残存しているが、より低温で堆積させた他の膜は、DHFでエッチングされている。これらの結果は、堆積温度がより高いほどより良好な膜品質を与えることを示唆する。
(例5)
SiN堆積
反応性ガスとして遠隔プラズマ活性化NH3またはNH3/O2を用いて、Si含有前駆体としてN,N’−ジシリルトリシラザンを使用して、SiNを含む膜を堆積させた。0.9〜1.2Torrの範囲の圧力下で、40〜−60℃で流動性膜を堆積させた。N,N’−ジシリルトリシラザン、NH3、O2、Ar、およびHeの流量を0.2〜0.4g/分から、55〜85、7〜10、560〜725、700〜800sccmまでそれぞれ変化させた。堆積直後の膜のRIは、1.58であった。
遠隔プラズマ活性化NH3およびNH3/O2を用いた堆積直後の膜の典型的なFTIRが図9に示されている。NH3のみの膜のFTIRでは、SiN、SiH、およびNHのピークが顕著であるが、SiHのピークには1000cm-1にSiOに対するショルダーがある。NH3/O2膜では、SiNのピークは、著しく低下し、SiOに対するショルダーは、NH3のみの膜よりも少し高い。したがって、NH3を使用すると、膜は、SiOよりも多くのSiNを有する。
(例6)
比較SiN膜
TSAおよびNH3を使用して比較膜を堆積させた。NH3は、遠隔プラズマにより活性化された。この膜に対するFTIRスペクトルが、例5のN,N’−ジシリルトリシラザン/NH3膜に対するFTIRデータと共に図10に示されている。図で分かるように、N,N’−ジシリルトリシラザン膜に対しては、SiNピーク強度は、TSA膜よりも高く、SiH強度は、より低い。膜中により多量のSiNが存在することは、SiN膜に変換する際に有利である。より少量のSiHは、N,N’−ジシリルトリシラザンから得られる膜の反応性が低くなり、これにより収縮率が小さくなることを示唆する。
同様に、TSAおよびNH3/O2を使用して堆積させた膜とN,N’−ジシリルトリシラザン/NH3/O2を使用して堆積させた膜とのFTIRの比較が図11に示されている。これらのスペクトルは、N,N’−ジシリルトリシラザンから得られた膜のSiHピーク強度がより低く、SiNピーク強度がより高いことを示し、このことは、N,N’−ジシリルトリシラザンがTSAよりもSiN流動性膜に対して優れた前駆体であることを再び実証している。
(例7)
SiN膜および比較膜のエイジング
次いで、TSAおよび遠隔プラズマ活性化NH3/O2混合物を使用して堆積させた膜を、周囲条件下(室温、大気圧、空気下)で保持することによって、4日間エイジングした。堆積直後のおよびエイジング後のTSA膜のFTIRスペクトルが図12に示されている。図13は、N,N’−ジシリルトリシラザンおよびプラズマ活性化NH3/O2混合物を使用して堆積させた膜の、堆積直後のおよび4日間のエイジング後のFTIRデータを示す。
図から分かるように、N,N’−ジシリルトリシラザン膜と比較すると、TSA膜は、エイジング中にSiOピーク強度が増加することを示している。これらの結果は、TSA膜がN,N’−ジシリルトリシラザン膜よりも速やかに空気から水分およびO2を吸収することを示唆する。また、N,N’−ジシリルトリシラザン膜は、反応性がより低いため、N,N’−ジシリルトリシラザン膜の方がSiHピーク強度の低下が少ない。
(例8)
SiN膜のSEM画像
堆積直後の流動性膜のSEMが図14に示されている。N,N’−ジシリルトリシラザンおよび遠隔プラズマ活性化NH3/O2混合物を使用して膜を堆積させた。
(例8)
SiOおよびSiN膜の組成分析
TSA、ジシロキサン、およびN,N’−ジシリルトリシラザン膜のトレンチ内組成分析が実行された。膜のトレンチ内組成を分析するためにTEM/EELSが行われた。図15A〜図15Cは、シリコン、酸素および窒素のそれぞれについて、上記のように調製されたジシロキサンおよびTSA膜の元素組成を示す。図16A〜図16Cは、上記のように調製されたN,N’−ジシリルトリシラザンおよびTSA膜の組成を示す。これらの膜を、上記のように堆積させ、次いで、オゾンおよびUVによって硬化させた。TSA膜とジシロキサン膜との比較では、ジシロキサン膜は、TSA膜よりもSiおよびOの含有量が高い。最も重要なことには、N含有量は、ほとんどゼロである。したがって、ジシロキサンは、流動性SiO膜の堆積にとってTSA前駆体よりも良好なSi前駆体である可能性がある。N,N’−ジシリルトリシラザンから得られる膜は、TSAから得られる膜と比較して、SiおよびNの含有量が高い。また、N,N’−ジシリルトリシラザン膜中のOレベルは、より低く、これは、N,N’−ジシリルトリシラザンがSiN流動性膜を堆積させるのによりよい候補であることを示唆する。両方の場合(ジシロキサンおよびN,N’−ジシリルトリシラザン)とも、EELSの結果は、堆積直後の膜のFT−IRデータと同等である。

Claims (8)

  1. SiNを含む膜を堆積させる方法であって、
    基板表面をシラザンの前駆体に曝すステップと、
    前記基板表面をプラズマ活性化共反応体に曝してSiON中間膜をもたらすステップと、
    前記SiON中間膜をUV硬化させて、硬化させた中間膜をもたらすステップと、
    前記硬化させた中間膜をアニールして、SiNを含む膜をもたらすステップと、
    を含む方法。
  2. 流動性化学気相堆積プロセスである、請求項1に記載の方法。
  3. 前記共反応体がNH3および/またはO2を含む、請求項1に記載の方法。
  4. 前記アニールするステップがNH3アニーリングを含む、請求項に記載の方法。
  5. 前記シラザン前駆体が、
    Figure 0006929279
    からなる群から選択される、請求項に記載の方法。
  6. 前記シラザン前駆体がN,N’−ジシリルトリシラザンを含む、請求項に記載の方法。
  7. SiNを含む膜を堆積させる方法であって、
    基板表面を、N,N’−ジシリルトリシラザンを含むシラザン前駆体に曝すステップと、
    前記基板表面を遠隔プラズマ活性化NH3および/またはO2に曝してSiON中間膜をもたらすステップと、
    前記SiON中間膜をUV硬化させて、硬化させた中間膜をもたらすステップと、
    前記硬化させた中間膜をNH3アニールして、SiNを含む膜をもたらすステップと、を含む方法。
  8. 流動性化学気相堆積プロセスである、請求項に記載の方法。
JP2018520080A 2015-10-22 2016-10-19 SiOおよびSiNを含む流動性膜を堆積させる方法 Active JP6929279B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562244791P 2015-10-22 2015-10-22
US62/244,791 2015-10-22
PCT/US2016/057673 WO2017070192A1 (en) 2015-10-22 2016-10-19 METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN

Publications (2)

Publication Number Publication Date
JP2018533215A JP2018533215A (ja) 2018-11-08
JP6929279B2 true JP6929279B2 (ja) 2021-09-01

Family

ID=58558043

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018520080A Active JP6929279B2 (ja) 2015-10-22 2016-10-19 SiOおよびSiNを含む流動性膜を堆積させる方法

Country Status (6)

Country Link
US (1) US20170114465A1 (ja)
JP (1) JP6929279B2 (ja)
KR (1) KR20180058232A (ja)
CN (1) CN108140555B (ja)
TW (1) TWI713608B (ja)
WO (1) WO2017070192A1 (ja)

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107729934A (zh) * 2017-10-11 2018-02-23 安徽理工大学 一种基于k‑最近邻混合分类的瓦斯灾害预测方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
WO2019147462A1 (en) * 2018-01-26 2019-08-01 Applied Materials, Inc. Treatment methods for silicon nitride thin films
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019241763A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane compositions and methods for using the compositions to deposit silicon containing films
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210109046A (ko) 2019-01-24 2021-09-03 어플라이드 머티어리얼스, 인코포레이티드 질화규소를 증착하는 방법들
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11823907B2 (en) * 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11745453B2 (en) * 2020-03-05 2023-09-05 Continental Autonomous Mobility US, LLC Method of making and using a reusable mold for fabrication of optical elements
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US12004431B2 (en) 2020-10-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114759027A (zh) * 2021-01-08 2022-07-15 长鑫存储技术有限公司 半导体结构及其形成方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116183535B (zh) * 2023-04-23 2023-08-29 中国科学技术大学 分析高分子溶液陈化过程光谱的方法、系统、设备及介质

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100519514B1 (ko) * 1999-07-02 2005-10-07 주식회사 하이닉스반도체 TaON박막을 갖는 커패시터 제조방법
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
TW201443274A (zh) * 2013-03-14 2014-11-16 Applied Materials Inc 使用二矽氧烷先質之膜的沉積
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
CN104377165B (zh) * 2013-08-12 2017-11-17 上海和辉光电有限公司 平板显示器及其柔性基板和制作方法
JP2017505382A (ja) * 2014-01-24 2017-02-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化剤を用いないケイ素及び酸素含有膜の堆積
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법

Also Published As

Publication number Publication date
TWI713608B (zh) 2020-12-21
US20170114465A1 (en) 2017-04-27
KR20180058232A (ko) 2018-05-31
JP2018533215A (ja) 2018-11-08
WO2017070192A1 (en) 2017-04-27
TW201728777A (zh) 2017-08-16
CN108140555A (zh) 2018-06-08
CN108140555B (zh) 2024-03-15

Similar Documents

Publication Publication Date Title
JP6929279B2 (ja) SiOおよびSiNを含む流動性膜を堆積させる方法
JP6950012B2 (ja) SiOCN薄膜の形成
US9984868B2 (en) PEALD of films comprising silicon nitride
US20180025907A1 (en) Deposition Of Flowable Silicon-Containing Films
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
US10699897B2 (en) Acetylide-based silicon precursors and their use as ALD/CVD precursors
KR20180073483A (ko) 기판 상의 구조물 형성 방법
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
US11107674B2 (en) Methods for depositing silicon nitride
US9957165B2 (en) Precursors suitable for high temperature atomic layer deposition of silicon-containing films
CN114262878A (zh) 氧化硅沉积方法
WO2014152826A1 (en) Deposition of films using disiloxane precursors
US11367614B2 (en) Surface roughness for flowable CVD film
KR20200013115A (ko) 높은 질소 함량을 갖는 실리콘 니트라이드 막

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191003

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210708

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210810

R150 Certificate of patent or registration of utility model

Ref document number: 6929279

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150