TW201728777A - 沉積包含SiO及SiN之可流動薄膜的方法 - Google Patents

沉積包含SiO及SiN之可流動薄膜的方法 Download PDF

Info

Publication number
TW201728777A
TW201728777A TW105133831A TW105133831A TW201728777A TW 201728777 A TW201728777 A TW 201728777A TW 105133831 A TW105133831 A TW 105133831A TW 105133831 A TW105133831 A TW 105133831A TW 201728777 A TW201728777 A TW 201728777A
Authority
TW
Taiwan
Prior art keywords
film
substrate
precursor
sio
sin
Prior art date
Application number
TW105133831A
Other languages
English (en)
Other versions
TWI713608B (zh
Inventor
蘭卡摩 卡路塔瑞奇
馬克 薩利
大衛 湯普森
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201728777A publication Critical patent/TW201728777A/zh
Application granted granted Critical
Publication of TWI713608B publication Critical patent/TWI713608B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本案提供了沉積包含SiO或SiN的可流動薄膜的方法。某些方法包含:將基板表面曝露於矽氧烷或矽氮烷前驅物中;將基板表面曝露於電漿活化的共反應物中以提供SiON中間薄膜;紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及退火固化的中間薄膜以提供包含SiO或SiN之薄膜。

Description

沉積包含SiO及SiN之可流動薄膜的方法
本發明大體上係關於沉積薄膜的方法。詳言之,本發明係關於含有矽的薄膜之可流動化學氣相沉積。
在包括半導體處理、擴散阻障塗層及磁讀取/寫入頭之介電質之各種行業中,在基板表面上沉積薄膜是重要的製程。詳言之,在半導體行業中,小型化受益於薄膜沉積之高階控制以在高深寬比結構上產生保形的塗層。用相對控制及保形沉積來沉積薄膜之一個方法是化學氣相沉積(chemical vapor deposition; CVD)。化學氣相沉積涉及將基板(例如晶圓)曝露於一或更多個前驅物中,該等前驅物反應以在基板上沉積薄膜。可流動化學氣相沉積(flowable chemical vapor deposition; FCVD)是一種化學氣相沉積類型,其允許沉積可流動薄膜,尤其是用於縫隙填充應用。
SiO及SiN可流動薄膜用於縫隙填充應用。目前,藉由三矽基胺(trisilylamine; TSA)以自由基形式NH3/O2作為共反應物生成該等薄膜。SiO薄膜的濕式蝕刻速率比(wet etch rate ratio; WER)為3。然而,小於2之濕式蝕刻速率比大體上目標是縫隙填充應用。自三矽基胺製程獲得之初沉積的薄膜包含作為主要組分之矽及氮,其中氧作為微量組分。
需要商業上可行並且展現可流動性質以及低濕式蝕刻速率比兩者之新的沉積化學品。本發明之態樣藉由提供新穎的化學品解決了該問題,該化學品是經特別設計並且經最佳化以利用沉積製程。尤其需要用於沉積包含SiO及SiN之可流動薄膜之新的化學品。
本發明之一個態樣係關於沉積包含SiO或SiN之薄膜之方法,該方法包含:將基板表面曝露於矽氧烷或矽氮烷前驅物中;將基板表面曝露於電漿活化的共反應物中以提供SiON中間薄膜;紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及退火固化的中間薄膜以提供包含SiO或SiN之薄膜。
本發明之另一態樣係關於一種沉積包含SiO之薄膜之方法,該方法包含:將基板表面曝露於包含二矽氧烷之矽氧烷前驅物中;將基板表面曝露於遠端電漿活化的氨氣中以提供SiON中間薄膜;在臭氧存在下,紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及蒸汽退火固化的中間薄膜以提供包含SiO之薄膜。
本發明之另一態樣係關於一種沉積包含SiN之薄膜之方法,該方法包含:將基板表面曝露於包含N,N'二矽基三矽氮烷之矽氮烷前驅物中;將基板表面曝露於遠端電漿活化的氨氣及/或氧氣中以提供SiON中間薄膜;紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及氨氣退火固化的中間薄膜以提供包含SiN之薄膜。
在描述本發明之數個示範性實施例之前,應理解,本發明並不限制於以下描述中所列出的構造或製程步驟細節。本發明能夠具有其他實施例並且能夠以各種方式被實施或被執行。圖示的結構意欲包含具有標明化學式之所有該等錯合物及配位體。
已經驚人地發現,能夠在可流動化學氣相沉積(flowable chemical vapor; FCVD)製程中使用矽氧烷或矽氮烷前驅物獲得高品質可流動薄膜。此等前驅物與自電漿生成的自由基形式之共反應物一起使用。薄膜具有低濕式蝕刻速率比及低收縮率的有利效應。給定二矽氧烷的極高反應性情況下,使用二矽氧烷之實施例的結果尤其令人驚訝。由於該等薄膜之優越的特徴,薄膜尤其適合於縫隙填充應用。詳言之,薄膜的可流動性允許填充間隙。
在一或更多個實施例中,將矽氧烷或矽氮烷前驅物汽化至化學氣相沉積腔室,以及經由遠端電漿源將共反應物(例如,僅僅為氨氣或為具有或沒有氬氣的氨氣/氧氣)輸送至腔室,遠端電漿源將生成作為共反應物的電漿活性物質。電漿活化共反應物分子(自由基)具有高能量並且在氣相中與含矽前驅物分子反應以形成可流動SiON聚合物。該等聚合物沉積在晶圓上並且由於其流動性,該等聚合物將流過溝槽以及產生縫隙填充。隨後對該等薄膜進行固化(例如臭氧及/或紫外線)以及退火(例如蒸汽或氨氣)。
在一些實施例中,由直接電漿來生成可流動聚合物。隨後在開啟電漿時,可將矽氧烷或矽氮烷前驅物汽化至化學氣相沉積腔室,及將共反應物(例如氮氣、氬氣、氨氣、氧氣之任何組合或單一的共反應物)輸送至腔室。在一些實施例,自直接電漿沉積可流動薄膜,使得汽化的矽前驅物流入處理腔室中並且有或沒有共反應物都開啟電漿。
因此,本發明之一態樣係關於沉積包含SiO或SiN之薄膜之方法。在一或更多個實施例中,該方法包含:將基板表面曝露於矽氧烷或矽氮烷前驅物中;將基板表面曝露於電漿活化的共反應物中以提供SiON中間薄膜;紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及退火固化的中間薄膜以提供包含SiO或SiN之薄膜。在一或更多個實施例中,該方法是可流動化學氣相沉積製程。
矽氧烷及矽氮烷都是含矽前驅物,該前驅物用作矽源及氧源或者氮源。在化學氣相沉積(chemical vapor deposition; CVD)腔室中汽化矽氧烷或矽氮烷前驅物以便曝露於基板表面。
在一些實施例中,前驅物是矽氧烷前驅物。在使用矽氧烷前驅物的實施例中,所得的薄膜包含SiO。如本文所使用的,「矽氧烷」係指具有至少一個Si-O-Si官能基之化合物。在一或更多個實施例中,矽氧烷可為支鏈的、環狀的或直鏈的。在一些實施例中,矽氧烷可具有多個Si-O-Si官能基。在一或更多個實施例中,矽氧烷沒有其他元素。例如,在一或更多個實施例中,矽氧烷前驅物選自式(I)至式(IX):
在進一步實施例中,矽氧烷前驅物包含具有式(I)結構之二矽氧烷。
在一或更多個實施例中,前驅物是矽氮烷前驅物。在使用矽氮烷前驅物的實施例中,所得的薄膜包含SiN。如本文所使用的,「矽氮烷」係指具有至少一個Si-N-Si官能基之化合物。在一或更多個實施例中,矽氧烷可為支鏈的、環狀的或直鏈的。在一些實施例中,矽氮烷可具有多個Si-N-Si官能基。在一或更多個實施例中,矽氮烷沒有其他元素。例如,在一些實施例中,矽氮烷前驅物選自由以下各項組成的群組:
在一或更多個實施例中,矽氮烷前驅物包含具有式(X)之結構之N,N'二矽基三矽氮烷。
如上所論述的,將基板表面曝露於電漿活化的共反應物。在一些實施例中,共反應物選自由氨氣、氧氣及其組合組成的群組。共反應物還可包含氬氣、氦氣及/或氮氣之一或更多者。視所使用的共反應物而定,電漿活化的共反應物亦將氮及/或氧輸送至薄膜。在涉及矽氧烷前驅物之一些實施例中,共反應物包含氨氣。在涉及矽氮烷前驅物之一些實施例中,共反應物包含氨氣和氧氣的混合物或僅僅包含氨氣。
在一些製程中,使用電漿提供足夠的能量以促進物質進入表面反應變得有利及可能的激發態。將電漿引入製程可為連續的或脈衝的。在一些實施例中,前驅物(或反應性氣體)及電漿之順序脈衝用以處理層。在一些實施例中,可直接地(即在處理區域內)或者遠端地(即在處理區域外)使試劑電離。在一些實施例中,遠端電離可發生於沉積腔室之上游,使得離子或其他高能或發光物質不與沉積薄膜直接接觸。在一些電漿增強製程中,諸如藉由遠端電漿產生器系統自處理腔室外部生成電漿。可經由熟習此項技術者已知的任何合適的電漿生成製程或技術生成電漿。例如,藉由微波(microwave; MW)頻率產生器或射頻(radio frequency; RF)產生器之一或更多者生成電漿。電漿之頻率可視所使用的特定反應性物質而調節。合適的頻率包括但不限於2MHz、13.56MHz、40MHz、60MHz及100MHz。
在一或更多個實施例中,經由遠端電漿源將共反應物輸送至含有汽化矽氧烷或矽氮烷前驅物之化學氣相沉積腔室,該遠端電漿源將生成電漿活性物質作為共反應物。在替代的實施例中,由直接電漿來生成可流動聚合物。
在一些實施例中,可按需要將基板連續地同時地或大體上同時地曝露於前驅物及電漿活化的共反應物中。如本文所使用的,術語「大體上同時地」意謂著一種組分流之大多數與另一種組分流重疊,儘管其中他們有時可能並非共同流動的。在替代的實施例中,順序地或大體上順序地將基板表面與兩個或兩個以上前驅物接觸。如本文所使用的,「大體上順序地」意謂著一個組分流之大多數並非與另一組分流重合,儘管可能存在一些重疊。
本說明書通篇所使用的「基板」係指在製造製程期間在其上執行薄膜處理之任何基板或形成於基板上之材料表面。例如,視應用而定,能夠在其上執行處理之基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石之材料以及諸如金屬、金屬氮化物、金屬合金及其他導電材料之任何其他材料。基板包括但不限於半導體晶圓。可將基板曝露於預處理製程以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘焙基板表面。基板可包含節點裝置結構(例如32nm、22nm或低於20nm),以及可包括電晶體隔離、各種整合及犧牲間隔物,以及側壁間隔物雙圖案化(sidewall spacer double patterning; SSDP)微影術。在一或更多個實施例中,基板包含至少一個縫隙。基板可具有用於形成於基板上之裝置部件(例如電晶體)的間距及結構的複數個縫隙。縫隙可具有限定高度與寬度(即H/W)之深寬比(aspect ratio; AR)之高度及寬度,該深寬比顯著地大於1:1(例如5:1或5:1以上、6:1或6:1以上、7:1或7:1以上、8:1或8:1以上、8:1或8:1以上、10:1或10:1以上、11:1或11:1以上、12:1或12:1以上等等)。在許多情況下,高的深寬比是由於小的縫隙寬度,該縫隙寬度之範圍為自約90nm至約22nm或更少(例如約90nm、65nm、45nm、32nm、22nm、16nm等等)。
除了在基板自身的表面上直接地處理薄膜外,在本發明中還可在形成於基板上之下層上執行所揭示的薄膜處理步驟的任何步驟,如下面更詳細地揭示,並且術語「基板表面」意欲包括如上下文指示的此類下層。
在上述反應之任何反應之一或更多個實施例中,基於薄膜前驅物及基板表面之性質將選擇沉積反應的反應條件。可在大氣壓力下進行沉積,但還可在低壓下進行沉積。試劑的蒸氣壓應足夠的低以在該等應用中實用。基板的溫度應足夠的低以保持基板表面的鍵完整並且以防止氣體反應物熱分解。然而,基板的溫度還應足夠的高以保持薄膜前驅物處於氣態並且以提供充足的表面反應能量。特定的溫度視特定的基板、薄膜前驅物及壓力而定。可使用本領域已知的方法評估特定的基板、薄膜前驅物等之性質,允許選擇用於反應之適當的溫度和壓力。在一些實施例中,壓力小於約6.0托、5.0托、4.0托、3.0托、2.6托、2.0托或1.6托。在一或更多個實施例中,在溫度小於約200℃、175℃、150℃、125℃、100℃、75℃及/或大於約-1℃、0℃、23℃、50℃或75℃下進行沉積。
在將基板曝露於矽氧烷或矽氮烷前驅物及電漿活化的共反應物中之後沉積的薄膜包含SiON(被稱作「SiON中間薄膜」)。大體上,初沉積的薄膜是具有較少網狀結構及更多諸如Si-H、Si-OH及N-H之懸鍵之相對低緻密薄膜。因此,薄膜的濕式蝕刻速率比通常非常地高。為達得到低濕式蝕刻速率比/緻密薄膜的目的,進一步處理薄膜以獲得高密度薄膜。在該等處理期間,剩餘的反應鍵(例如SiH鍵、NH鍵)彼此相互反應或者與引入的分子(例如臭氧、水、氨氣)反應以形成具有更多網狀結構的薄膜。因此,為達移除氧或者氮以得到目標薄膜的目的,則對薄膜進行額外的固化及退火製程。在SiO薄膜情況下,在固化/退火期間移除氮以及增加氧給薄膜以生成SiO薄膜。然而,矽氧烷前驅物之一個優點是,由於矽氧烷前驅物包含Si-O,所以初沉積的薄膜在薄膜中已經具有更多的氧。因此,與自標準製程(例如彼等使用三矽基胺的製程)獲得的薄膜相比,自矽氧烷前驅物獲得的初沉積的薄膜更容易轉化成SiO。因此,對於矽氧烷薄膜來說將需要較少數量的固化/退火,這將有利地節約了晶圓處理時間。同樣,藉由矽氮烷獲得的SiN薄膜比自三矽基胺獲得的薄膜具有更多的存在於初沉積的薄膜中的氮。
在一或更多個實施例中,固化包含將中間SiON薄膜曝露於臭氧及/或紫外線(ultraviolet; UV)輻射中。在進一步實施例中,將中間SiON薄膜曝露於臭氧及紫外線固化以獲得包含SiO的薄膜。在另一實施例中,將中間SiON薄膜僅曝露於紫外線固化以獲得包含SiON的薄膜。
一或更多個實施例亦涉及退火製程。在一些實施例中,退火包含蒸汽退火。在另一實施例中,退火包含氨氣退火。
因此,例如在涉及矽氧烷前驅物(例如二矽氧烷)的一或更多個實施例中,使用臭氧及紫外線固化以及隨後藉由蒸汽退火SiON中間薄膜以生成SiO薄膜。在涉及矽氮烷前驅物(例如N,N'二矽基三矽氮烷)的一些實施例中,藉由紫外線固化,隨後藉由氨氣退火以生成SiN薄膜。
在一個示範性實施例中,方法包含:將基板表面曝露於包含二矽氧烷的矽氧烷前驅物中;將基板表面曝露於遠端電漿活化的氨氣中以提供SiON中間薄膜;在臭氧存在下紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及蒸汽退火固化的中間薄膜以提供包含SiO之薄膜。
在進一步實施例中,方法是可流動化學氣相沉積製程。在另一示範性實施例中,方法包含:將基板表面曝露於包含N,N'二矽基三矽氮烷之矽氮烷前驅物中;將基板表面曝露於遠端電漿活化的氨氣及/或氧氣中以提供氮SiON中間薄膜;紫外線固化SiON中間薄膜以提供固化的中間薄膜;以及氨氣退火固化的中間薄膜以提供包含SiN之薄膜。
在進一步實施例中,方法是可流動化學氣相沉積製程。本發明之另一態樣係關於藉由本文描述的方法沉積的薄膜。薄膜與以前已知的可流動薄膜不同,由存在於下面實例部分的資料證明。在一或更多個實施例中,沉積的薄膜的濕式蝕刻速率比小於約2。
該等製程的優點是生成具有低濕式蝕刻速率及低收縮率的高密度可流動薄膜。矽氧烷已經在分子中具有Si-O鍵,此導致Si-O鍵存在於初沉積的薄膜中(與一些氮)。與目前已知技術相比,初沉積的薄膜轉化至SiO薄膜可使用較少的固化/退火時間及能量。又,初沉積的薄膜中存在SiO導致低收縮率以及低濕式蝕刻速率比。同樣,自矽氮烷獲得的初沉積的薄膜具有更多氮,這將需要較少的固化/退火時間和能量,以及薄膜具有低收縮率以及低濕式蝕刻速率比。該等薄膜特別適用於縫隙填充應用。因此,在一些實施例中,基板具有至少一個縫隙,並且製程至少部分地填充縫隙。
根據一或更多個實施例,在形成層之前或之後對基板進行處理。可在相同的腔室或在一或更多個分離處理腔室中執行該處理。在一些實施例中,將基板自第一腔室中移動至分離的第二腔室中以進一步處理。可將基板直接地自第一腔室移動至分離的處理腔室,或者可將基板自第一腔室移動至一或更多個移送腔室,以及隨後移動至所要的分離的處理腔室。因此,處理設備可包含與移送站連通的多個腔室。該類別的設備可被稱為「叢集工具」或「叢集系統」等等。
大體上,叢集工具是包含多個腔室之模組化系統,該腔室執行包括基板中心尋找及定向、除氣、退火、沉積及/或蝕刻之各種功能。根據一或更多個實施例,叢集工具包括至少第一腔室及中心移送腔室。中心移送腔室可容納機器人,機器人可介於處理腔室與載入鎖腔室之間及在處理腔室和載入鎖腔室之中往復移動基板。移送腔室通常保持在真空狀態並提供用於將基板自一個腔室往復移動至另一腔室及/或至定位在叢集工具之前端之載入閘室。可適用於本發明的兩種眾所周知的叢集工具是Centura®及Endura®,兩者都可購自加利福尼亞的聖克拉拉的應用材料公司(Applied Materials, Inc., of Santa Clara, Calif)。然而,為了執行如本文所描述的製程之特定步驟之目的,可改變腔室之準確的佈置及組合。可使用的其他處理腔室包括但不限於循環層沉積(cyclical layer deposition; CLD),原子層沉積(atomic layer deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、物理氣相沉積(physical vapor deposition; PVD)、蝕刻、預清洗、化學清洗、諸如快速熱處理(rapid thermal treatment; RTP)的熱處理、電漿氮化、除氣、定向、羥基化及其他基板製程。藉由在叢集工具上之腔室內執行製程,在沉積後續薄膜之前無需氧化就能夠避免大氣雜質對基板表面的污染。
根據一或更多個實施例,基板連續地處於真空或「載入鎖」狀態下,並且當自一個腔室移動至下一個腔室時不曝露於周圍空氣中。因此,移送腔室處於真空狀態且在真空壓下「泵送」。惰性氣體可存在於處理腔室或移送腔室中。在一些實施例中,惰性氣體用作淨化氣體以在在基板表面形成層之後移除一些或所有的反應物。根據一或更多個實施例,將淨化氣體注入沉積腔室之出口以防止反應物自沉積腔室移動至移送腔室及/或額外的處理腔室。因此,惰性氣體流在腔室出口形成簾幕。
可在單個基板沉積腔室中處理基板,其中載入、處理及在處理另一個基板之前卸載單個基板。還可以以連續的方式處理基板,如輸送系統,其中將多個基板各自地載入腔室第一部分、移動穿過腔室並且自腔室的第二部分卸載。腔室之形狀及關聯的輸送系統可形成直線路徑或曲線路徑。另外,處理腔室可為旋轉料架,多個基板可在其中圍繞中心軸移動並且曝露於貫穿旋轉料架路徑的沉積、蝕刻、退火、清洗等製程中。
在處理期間,可加熱或冷卻基板。可藉由任何合適的手段來完成該加熱或冷卻,此任何合適的手段包括但不限於改變基板支撐的溫度以及流動加熱或冷卻氣體至基板表面。在一些實施例中,基板支撐包括可經控制以傳導性改變基板溫度之加熱器/冷卻器。在一或更多個實施例中,可加熱或冷卻所使用的氣體(反應性氣體或者惰性氣體)以局部地改變基板的溫度。在一些實施例中,加熱器/冷卻器經定位在鄰近基板表面之腔室內部以對流改變基板溫度。
在處理期間,基板還可為固定的或旋轉的。可連續地或以謹慎的步驟旋轉旋轉基板。例如,可在整個製程中旋轉基板,或在曝露於不同反應性或淨化氣體之間可少量地旋轉基板。由於最小化例如在氣流幾何形態中的局部可變性的效應,在處理(連續地或者逐步地)期間旋轉基板可幫助產生更多均勻沉積或蝕刻。
在停止流動前驅物、共試劑等之後,可將基板及腔室曝露於淨化步驟。在本文所描述的任何態樣之一或更多個實施例中,可在將任何前驅物流動至/曝露於基板表面之後流動淨化氣體。可用流動速率來控制淨化氣體進入處理腔室,流動速率處於自約10sccm至約2,000sccm範圍內,例如,自約50sccm至約1,000sccm範圍內,並且在特定實例中,自約100sccm至約500sccm範圍內,例如約200sccm。淨化步驟移除處理腔室內的任何過量前驅物、副產物及其他污染物。可在一定時間段內實施淨化步驟,該時間段為自約0.1秒至約8秒範圍內,例如,自約1秒至約5秒的範圍內,以及在特定實例中,為約4秒。載氣、淨化氣體、沉積氣體或其他處理氣體可包含氮氣、氫氣、氬氣、氖氣、氦氣或其組合。在一個實例中,載氣包含氮氣。
該說明書通篇引用的「一個實施例」、「某些實施例」、「一或更多個實施例」或「實施例」意指與實施例有關所描述的特定特徴、結構、材料或特性被包括在本發明之至少一個實施例中。因此,在該說明書通篇各處的諸如「在一或更多個實施例中」、「在一些實施例中」、「在一個實施例中」或「在實施例中」的短語之出現無需參閱本發明相同的實施例。此外,特定特徵、結構、材料或特性可以任何合適的方式結合在一或更多個實施例中。
儘管已參考特定實施例描述了本文之本發明,應理解,該等實施例僅僅為說明瞭本發明的原理及應用。熟習此項技術者顯而易見的是,在不脫離本發明之精神及範疇的情況下可對本發明之方法及設備進行各種修改及變化。因此,本發明意欲包括在附加申請專利範圍及其等同物的範疇內之修改及變化。 實例 實例1——SiO沉積
根據本發明之一或更多個實施例使用二矽氧烷及遠端電漿活化的氨氣沉積薄膜。二矽氧烷、氨氣、氬氣及氦氣的流動速率分別地自400sccm至500sccm、10sccm至50sccm、400sccm至600sccm、50sccm至150sccm變化。初沉積的薄膜的折射率(refractive index; RI)為1.48。第1圖展示了示範性沉積薄膜之傅立葉變換紅外(fourier transform infrared; FTIR)光譜。自圖中可以看出,SiO、SiN、SiH及NH的峰是顯著的。存在兩種類型的SiH鍵伸展,一種在2175cm-1 處並且肩峰在2238cm-1 處。後一種峰源自在較多類網狀結構環境中的SiH鍵,同時在2175cm-1 處的峰源自在較少類網狀結構環境中的SiH鍵。在3374cm-1 處的NH伸展源自連接於SiON網狀結構中的NH鍵。 實例2——SiO薄膜的老化
根據本發明之一或更多個實施例使用二矽氧烷及遠端電漿活化的氨氣沉積薄膜。藉由保持在周圍條件(室溫、大氣壓力、在空氣中)將該薄膜老化四天。第2圖展示了初沉積的薄膜以及老化四天之後的沉積薄膜的傅立葉變換紅外光譜。自圖中可以看出,在老化四天之後,SiH及NH峰減少。反之,在老化四天之後,SiO及SiN峰增加。SiH峰自右向左偏移、NH峰的減少、SiO及SiN峰的增加展示了當老化時薄膜形成更多的網狀結構。因此,正如所料,因為SiH的存在,薄膜隨著時間而老化,導致薄膜收縮及折射率降低。
量測薄膜的折射率(refractive index; RI)及收縮率,並且在表1中展示。在表中可以看出,初沉積的薄膜的收縮率及折射率在四天內改變。在四天期間,折射率自1.48下降至1.45,同時收縮率自2增加至6.8。 1 實例3——比較SiO薄膜
使用三矽基胺(trimethylsilyl amine; TSA)及遠端電漿活化的氨氣/氧氣沉積比較薄膜(被稱作「三矽基胺薄膜」)。第3圖展示了該薄膜的傅立葉變換紅外光譜與實例1之薄膜的傅立葉變換紅外光譜的比較。自圖中可以看出,初沉積的三矽基胺薄膜並不具有顯著的SiO及SiN峰,而本發明的薄膜具有顯著的SiO及SiN峰。又,三矽基胺薄膜具有非常顯著的SiH峰,這意謂著本發明的薄膜的SiO+SiN/SiH之比率高於三矽基胺薄膜。該比率表示本發明薄膜比三矽基胺薄膜更穩定,因為二矽氧烷具有較少的SiH鍵,該SiH鍵反應性強。
初沉積的三矽基胺薄膜的折射率為1.6。如上文所論述,本發明的薄膜的折射率為1.48,該折射率接近純SiO薄膜。該結果指示,本發明的薄膜的特性比使用三矽基胺沉積的彼等薄膜更類似於純SiO薄膜。 實例4——蒸汽退火之效果
根據本發明之一或更多個實施例使用二矽氧烷及遠端電漿活化的氨氣沉積薄膜。第4圖展示了該薄膜的傅立葉變換紅外光譜。隨後藉由保持在環境條件(室溫、大氣壓力、在空氣中)將該薄膜老化十天。第5圖展示老化之後的薄膜的傅立葉變換紅外光譜。在老化十天之後亦將薄膜在500℃下蒸汽退火。第6圖展示了退火之後的薄膜的傅立葉變換紅外光譜。自圖中可以看出,在蒸汽退火之後,僅僅可以看出對應於純SiO薄膜的峰。
進行根據上文的數個薄膜的蒸汽退火試驗以確定退火薄膜的濕式蝕刻速率比及收縮率隨沉積溫度而變化。第7圖概述了結果。如圖中所展示,當沉積溫度較高時,濕式蝕刻速率比及收縮率較低。該等薄膜的濕式蝕刻速率比為自3.5至5的範圍內及收縮率為自22至28%的範圍內。
第8A圖至第8D圖展示了演示蒸汽退火效果及稀氫氟酸(dilute hydrofluoric acid; DHF)的裝飾之掃描式電子顯微鏡(scanning electron microscope; SEM)影像。第8A圖是使用二矽氧烷及遠端電漿活化的氨氣在53℃下沉積的不退火或不稀氫氟酸浸沒的初沉積的薄膜的掃描式電子顯微鏡影像。第8B圖至第8D圖展示了使用二矽氧烷及遠端氨氣電漿分別在-1℃、24℃及53℃下沉積的且在蒸汽退火及一分鐘稀氫氟酸浸沒之後的薄膜。自圖中可以看出,對於在53℃沉積的薄膜,溝槽內的薄膜在稀氫氟酸中已經部分地保存下來而其他在較低溫度下沉積的薄膜在稀氫氟酸中被蝕刻。該等結果表示較高沉積溫度得到更好的薄膜品質。 實例5——SiN沉積
使用N,N'二矽基三矽氮烷作為含矽前驅物以及遠端電漿活化的氨氣或者遠端電漿活化的氨氣/氧氣作為反應性氣體來沉積包含SiN薄膜。介於40℃至60℃之間在自0.9托至1.2托範圍內的壓力下沉積可流動薄膜。N,N'二矽基三矽氮烷、氨氣、氧氣、氬氣及氦氣的流動速率分別地自0.2至0.4g/min、55sccm至85sccm、7sccm至10sccm、560sccm至725sccm、700sccm至800sccm變化。初沉積的薄膜之折射率為1.58。
第9圖展示了來自遠端電漿活化的氨氣及遠端電漿活化的氨氣/氧氣的初沉積的薄膜的典型的傅立葉變換紅外光譜。在僅氨氣的薄膜的傅立葉變換紅外光譜中,SiN、SiH及NH的峰顯著,而对於SiO在1000cm-1 處的SiH峰中存在肩部。在氨氣/氧氣的薄膜中,SiN峰顯著降低並且SiO的肩部比僅氨氣的薄膜的SiO的肩部高一點。因此,當使用氨氣時,薄膜的SiN多於SiO。 實例6——比較SiN薄膜
使用三矽基胺及氨氣沉積比較薄膜。氨氣為遠端電漿活化的。第10圖展示該薄膜的傅立葉變換紅外光譜以及實例5之N,N'二矽基三矽氮烷/氨氣薄膜之傅立葉變換紅外光譜資料。自圖中可以看出,與三矽基胺薄膜相比,N,N'二矽基三矽氮烷薄膜的SiN尖峰強度更高及SiH強度更低。當轉化成SiN薄膜時,在薄膜中存在較高數量的SiN是有利的。較低數量的SiH表示自N,N'二矽基三矽氮烷獲得的薄膜反應性較小,這將導致較小的收縮率。
同樣,第11圖展示了使用三矽基胺及氨氣/氧氣以及N,N'二矽基三矽氮烷/氨氣/氧氣沉積的薄膜的傅立葉變換紅外光譜的比較。該等光譜展示了自N,N'二矽基三矽氮烷獲得的薄膜的較低的SiH及較高的SiN尖峰強度,此再次表明對於SiN可流動薄膜來說,N,N'二矽基三矽氮烷是比三矽基胺更優越的前驅物。 實例7——SiN薄膜及比較薄膜之老化
隨後藉由保持在環境條件(室溫、大氣壓力、在空氣中)將使用三矽基胺及遠端電漿活化的氨氣/氧氣混合物沉積的薄膜老化四天。第12圖展示了初沉積的三矽基胺薄膜及其老化之後的傅立葉變換紅外光譜。第13圖展示使用N,N'二矽基三矽氮烷及電漿活化的氨氣/氧氣混合物沉積的薄膜的初沉積時及其老化四天之後的傅立葉變換紅外光譜資料。
自圖中可以看出,當與N,N'二矽基三矽氮烷薄膜相比時,三矽基胺薄膜顯示出在老化期間增加的SiO尖峰強度。該等結果表示自空氣中吸收水分及氧氣,三矽基胺薄膜比N,N'二矽基三矽氮烷薄膜更快速。又,因為N,N'二矽基三矽氮烷薄膜反應性較小,N,N'二矽基三矽氮烷薄膜中的SiH尖峰強度的下降更小。 實例8——SiN薄膜之掃描式電子顯微鏡影像
第14圖展示了初沉積的可流動薄膜之掃描式電子顯微鏡影像。使用N,N'二矽基三矽氮烷及遠端電漿活化的氨氣/氧氣混合物沉積薄膜。 實例8——SiO及SiN薄膜之組成分析
進行三矽基胺、二矽氧烷及N,N'二矽基三矽氮烷薄膜之溝槽內的成分分析。執行透射式電子顯微鏡(transmission electron microscopy; TEM)/電子能耗譜儀(electron energy loss spectroscopy; EELS)以分析薄膜的溝槽內組成物。第15A圖至第15C圖展示了如上所述製備的二矽氧烷及三矽基胺薄膜的矽、氧和氮的元素組成。第16A圖至第16C圖展示了如上所述製備的N,N'二矽基三矽氮烷及三矽基胺薄膜之成分。如上所述沉積該等薄膜,隨後藉由臭氧及紫外線固化。在三矽基胺薄膜與二矽氧烷薄膜比較中,二矽氧烷薄膜的矽及氧含量比三矽基胺薄膜的矽及氧含量高。最重要地,氮含量幾乎為零。因此,對於沉積可流動SiO,二矽氧烷可為比三矽基胺前驅物更好的矽前驅物。與自三矽基胺獲得的薄膜相比,自N,N'二矽基三矽氮烷獲得的薄膜具有較高的矽及氮含量。又,N,N'二矽基三矽氮烷薄膜中的氧含量較低,此表示N,N'二矽基三矽氮烷是沉積SiN可流動薄膜的更好的候選。在二矽氧烷及N,N'二矽基三矽氮烷兩種情況下,電子能耗譜儀結果與初沉積的薄膜的傅立葉變換紅外光譜相當。
因此,以可詳細理解本發明上述特徵的方式,參考實施例可獲得上文簡要地概述本發明之更特定描述,在附圖中圖示一些實施例。然而,應注意,附圖僅圖示本發明之典型實施例,並且因此不應認為是本發明之範疇之限制,因為本發明可承認其他同等有效之實施例。
第1圖是根據本發明之一或更多個實施例沉積的薄膜之傅立葉變換紅外(fourier transform infrared; FTIR)光譜;
第2圖是根據本發明之一或更多個實施例沉積的薄膜以及在老化四天之後的薄膜之傅立葉變換紅外光譜;
第3圖是根據本發明之一或更多個實施例沉積的薄膜及比較薄膜之傅立葉變換紅外光譜之比較;
第4圖是根據本發明之一或更多個實施例沉積的薄膜之傅立葉變換紅外光譜;
第5圖是根據本發明之一或更多個實施例沉積的老化十天之後的薄膜之傅立葉變換紅外光譜;
第6圖是根據本發明之一或更多個實施例沉積的蒸汽退火之後的薄膜之傅立葉變換紅外光譜;
第7圖是根據本發明之一或更多個實施例沉積的薄膜之濕式蝕刻比及收縮率之曲線圖;
第8A圖至第8D圖是根據本發明之一或更多個實施例在各種條件下沉積的薄膜之掃描式電子顯微鏡影像;
第9圖是根據本發明之一或更多個實施例沉積的兩個薄膜之傅立葉變換紅外光譜;
第10圖是根據本發明之一或更多個實施例沉積的薄膜及比較薄膜之傅立葉變換紅外光譜之比較;
第11圖是根據本發明之一或更多個實施例沉積的薄膜及比較薄膜之傅立葉變換紅外光譜之比較;
第12圖是初沉積的比較薄膜及老化四天之後的比較薄膜之傅立葉變換紅外光譜之比較;
第13圖是根據本發明之一或更多個實施例沉積的薄膜在初沉積時及老化四天之後之傅立葉變換紅外光譜之比較;
第14圖是根據本發明之一或更多個實施例沉積的薄膜之掃描式電子顯微鏡影像;
第15A圖至第15C圖是展示根據本發明之一或更多個實施例沉積的薄膜及比較薄膜之溝槽內組成物之散點圖;以及
第16A圖至第16C圖是展示根據本發明之一或更多個實施例沉積的薄膜及比較薄膜之溝槽內組成物之散點圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (20)

  1. 一種沉積包含SiO或SiN之一薄膜的方法,該方法包含以下步驟: 將一基板表面曝露於一矽氧烷或矽氮烷前驅物中;將該基板表面曝露於一電漿活化的共反應物中以提供一SiON中間薄膜;紫外線固化該SiON中間薄膜以提供一固化的中間薄膜;以及退火該固化的中間薄膜以提供包含SiO或SiN之一薄膜。
  2. 如請求項1所述之方法,其中該方法是一可流動化學氣相沉積製程。
  3. 如請求項1所述之方法,其中該共反應物包含氨氣及/或氧氣。
  4. 如請求項1所述之方法,其中將該基板表面曝露於一矽氧烷前驅物中,且該沉積薄膜包含SiO。
  5. 如請求項4所述之方法,其中退火包含蒸汽退火。
  6. 如請求項4所述之方法,其中該矽氧烷前驅物選自由以下各項組成的群組:
  7. 如請求項6所述之方法,其中該矽氧烷前驅物包含二矽氧烷。
  8. 如請求項1所述之方法,其中將該基板表面曝露於一矽氮烷前驅物中,且該沉積的薄膜包含SiN。
  9. 如請求項8所述之方法,其中退火之步驟包含以下步驟:氨氣退火。
  10. 如請求項8所述之方法,其中該矽氮烷前驅物選自由以下各項組成的群組:
  11. 如請求項10所述之方法,其中該矽氮烷前驅物包含N,N'-二矽基三矽氮烷。
  12. 如請求項1所述之方法,其中該電漿係一遠端電漿。
  13. 一種藉由請求項4所述之方法沉積的薄膜。
  14. 如請求項13所述之薄膜,其中該薄膜的一濕式蝕刻速率比小於約2。
  15. 一種藉由請求項6所述之方法沉積的薄膜。
  16. 如請求項15所述之薄膜,其中該薄膜的一濕式蝕刻速率比小於約2。
  17. 一種沉積包含SiO之一薄膜之方法,該方法包含以下步驟: 將一基板表面曝露於包含二矽氧烷之一矽氧烷前驅物中;將該基板表面曝露於一遠端電漿活化的氨氣中以提供一SiON中間薄膜;在臭氧存在下,紫外線固化該SiON中間薄膜以提供一固化的中間薄膜;以及蒸汽退火該固化的中間薄膜以提供包含SiO之一薄膜。
  18. 如請求項17所述之方法,其中該方法係一可流動化學氣相沉積製程。
  19. 一種沉積包含SiN之一薄膜的方法,該方法包含以下步驟: 將一基板表面曝露於包含N,N'二矽基三矽氮烷之矽氮烷前驅物中;將該基板表面曝露於一遠端電漿活化的氨氣及/或氧氣中以提供一SiON中間薄膜;紫外線固化該SiON中間薄膜以提供一固化的中間薄膜;以及氨氣退火該固化的中間薄膜以提供包含SiN之一薄膜。
  20. 如請求項19所述之方法,其中該方法係一可流動化學氣相沉積製程。
TW105133831A 2015-10-22 2016-10-20 沉積包含SiO及SiN之可流動薄膜的方法 TWI713608B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562244791P 2015-10-22 2015-10-22
US62/244,791 2015-10-22

Publications (2)

Publication Number Publication Date
TW201728777A true TW201728777A (zh) 2017-08-16
TWI713608B TWI713608B (zh) 2020-12-21

Family

ID=58558043

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105133831A TWI713608B (zh) 2015-10-22 2016-10-20 沉積包含SiO及SiN之可流動薄膜的方法

Country Status (6)

Country Link
US (1) US20170114465A1 (zh)
JP (1) JP6929279B2 (zh)
KR (1) KR102692947B1 (zh)
CN (1) CN108140555B (zh)
TW (1) TWI713608B (zh)
WO (1) WO2017070192A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111684566A (zh) * 2018-01-26 2020-09-18 应用材料公司 用于氮化硅薄膜的处理方法
TWI715082B (zh) * 2018-06-29 2021-01-01 美商應用材料股份有限公司 使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充
TWI796270B (zh) * 2019-10-16 2023-03-11 南韓商圓益Ips股份有限公司 基板處理方法

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP3049499B1 (en) 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107729934A (zh) * 2017-10-11 2018-02-23 安徽理工大学 一种基于k‑最近邻混合分类的瓦斯灾害预测方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190382886A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113330141B (zh) 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11745453B2 (en) * 2020-03-05 2023-09-05 Continental Autonomous Mobility US, LLC Method of making and using a reusable mold for fabrication of optical elements
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US12004431B2 (en) 2020-10-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for MRAM devices
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
US11659771B2 (en) 2020-11-25 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for integrating MRAM and logic devices
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114759027A (zh) * 2021-01-08 2022-07-15 长鑫存储技术有限公司 半导体结构及其形成方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116183535B (zh) * 2023-04-23 2023-08-29 中国科学技术大学 分析高分子溶液陈化过程光谱的方法、系统、设备及介质
CN116555727A (zh) * 2023-05-22 2023-08-08 拓荆科技(上海)有限公司 一种硅氮聚合物的生成方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100519514B1 (ko) * 1999-07-02 2005-10-07 주식회사 하이닉스반도체 TaON박막을 갖는 커패시터 제조방법
KR20050069986A (ko) * 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR101758944B1 (ko) * 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
TW201443274A (zh) * 2013-03-14 2014-11-16 Applied Materials Inc 使用二矽氧烷先質之膜的沉積
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
CN104377165B (zh) * 2013-08-12 2017-11-17 上海和辉光电有限公司 平板显示器及其柔性基板和制作方法
KR102339803B1 (ko) * 2014-01-24 2021-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화제 없이 규소 및 산-함유 막들을 증착시키는 방법
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111684566A (zh) * 2018-01-26 2020-09-18 应用材料公司 用于氮化硅薄膜的处理方法
TWI715082B (zh) * 2018-06-29 2021-01-01 美商應用材料股份有限公司 使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充
TWI796270B (zh) * 2019-10-16 2023-03-11 南韓商圓益Ips股份有限公司 基板處理方法
TWI796269B (zh) * 2019-10-16 2023-03-11 南韓商圓益Ips股份有限公司 基板處理方法
US11823907B2 (en) 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate

Also Published As

Publication number Publication date
WO2017070192A1 (en) 2017-04-27
JP6929279B2 (ja) 2021-09-01
US20170114465A1 (en) 2017-04-27
KR20180058232A (ko) 2018-05-31
KR102692947B1 (ko) 2024-08-06
CN108140555B (zh) 2024-03-15
CN108140555A (zh) 2018-06-08
TWI713608B (zh) 2020-12-21
JP2018533215A (ja) 2018-11-08

Similar Documents

Publication Publication Date Title
CN108140555B (zh) 沉积包含SiO及SiN的可流动薄膜的方法
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
US20180025907A1 (en) Deposition Of Flowable Silicon-Containing Films
JP7242837B2 (ja) 選択的な酸化アルミニウム膜の堆積
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US10699897B2 (en) Acetylide-based silicon precursors and their use as ALD/CVD precursors
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
TW201327672A (zh) 乾蝕刻製程
TW202208663A (zh) 含矽及氮膜的製造方法
US11107674B2 (en) Methods for depositing silicon nitride
JP2023507326A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
TW201816166A (zh) 具碳,氧及氮成分控制之沉積SiCON的方法
TW201528370A (zh) 用於增加硬度及模數的低k膜之以二氧化碳及一氧化碳介入的固化
CN114262878A (zh) 氧化硅沉积方法
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
US10340137B2 (en) Monolayer film mediated precision film deposition
US11367614B2 (en) Surface roughness for flowable CVD film
TW202335080A (zh) 形成金屬氮化物膜之方法
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
TW201316406A (zh) 用於低溫膜沉積之碳矽烷前驅物