TWI715082B - 使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充 - Google Patents

使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充 Download PDF

Info

Publication number
TWI715082B
TWI715082B TW108122573A TW108122573A TWI715082B TW I715082 B TWI715082 B TW I715082B TW 108122573 A TW108122573 A TW 108122573A TW 108122573 A TW108122573 A TW 108122573A TW I715082 B TWI715082 B TW I715082B
Authority
TW
Taiwan
Prior art keywords
layer
refractive index
substrate
oxide
patterned
Prior art date
Application number
TW108122573A
Other languages
English (en)
Other versions
TW202001349A (zh
Inventor
晉欣 傅
魯多維 葛迪
偉恩 麥克米蘭
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202001349A publication Critical patent/TW202001349A/zh
Application granted granted Critical
Publication of TWI715082B publication Critical patent/TWI715082B/zh

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1847Manufacturing methods
    • G02B5/1857Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0883Mirrors with a refractive index gradient
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/04Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of organic materials, e.g. plastics
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/017Head mounted
    • G02B27/0172Head mounted characterised by optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4272Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having plural diffractive elements positioned sequentially along the optical path
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/0101Head-up displays characterised by optical features
    • G02B27/0103Head-up displays characterised by optical features comprising holographic elements
    • G02B2027/0109Head-up displays characterised by optical features comprising holographic elements comprising details concerning the making of holograms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本案揭露內容之實施例大致上關於形成光學部件之方法,該光學部件例如用於虛擬實境或擴增實境顯示裝置。一個實施例中,該方法包括,在基材上形成具有圖案的第一層,且該第一層具有第一折射率。該方法進一步包括,藉由可流動化學氣相沉積(FCVD)製程在該第一層上形成第二層,且該第二層具有小於該第一折射率的第二折射率。

Description

使用可流動CVD對用於光學部件之微米/奈米結構所進行之隙縫填充
本案揭露內容的實施例大致上關於用於擴增、虛擬、及混合實境的顯示裝置。更特定而言,本文描述的實施例提供了一種用於形成顯示裝置所用之光學部件的方法。
一般認為虛擬實境是電腦生成的模擬環境,在該環境中使用者有明顯的實體存在感。虛擬實境的體驗能夠以3D生成,且利用頭戴式顯示器(HMD)觀看,該顯示器例如眼鏡或是具有近眼顯示面板(作為鏡頭)的其他可穿戴式顯示裝置,以顯示取代真實環境的虛擬實境之環境。
擴增實境實現了一種體驗,其中使用者仍能夠透過眼鏡或其他HMD裝置的顯示鏡頭看見,以觀看周圍環境,也還能夠看見為顯示而生成的虛擬物體且作為環境之一部分出現的影像。擴增實境能夠包括任何類型的輸入,例如聲音和觸覺輸入,以及增強或擴增使用者所體驗之環境的虛擬影像、圖形、和影片。
虛擬實境和擴增實境顯示裝置都利用光學部件,例如波導或​​平坦透鏡/超穎表面(meta surface),包括具有對比折射率(RI)的微米或奈米結構。在習知上,使用光、電子束、或奈米轉印微影製程圖案化具較低RI之層,且使用原子層沉積(ALD)製程在經圖案化的較低RI層上形成具有較高RI之層。然而,ALD製程的膜沉積速率非常慢。
因此,需要一種用於形成用於虛擬實境或擴增實境顯示裝置的光學部件的改良方法。
本案揭露內容之實施例大致上關於形成光學部件之方法,該光學部件例如用於虛擬實境或擴增實境顯示裝置。一個實施例中,該方法包括,在基材上形成具有圖案的第一層,且該第一層具有第一折射率。該方法進一步包括,藉由可流動化學氣相沉積製程在該第一層上形成第二層。該第二層具有小於該第一折射率的第二折射率。
另一實施例中,一種方法包括在基材上形成具有圖案的第一層。該第一層具有範圍從約1.7至約2.4的第一折射率。該方法進一步包括,藉由可流動化學氣相沉積製程在該第一層上形成第二層。該第二層具有範圍從約1.1至約1.5的第二折射率。
另一實施例中,一種方法包括在基材上形成具有第一圖案的第一層。該第一層具有第一折射率且包括金屬氧化物。該方法進一步包括,藉由可流動化學氣相沉積製程在該第一層上形成第二層。該第二層具有範圍從約1.1至約1.5的第二折射率。
本案揭露內容之實施例大致上關於形成光學部件之方法,該光學部件例如用於虛擬實境或擴增實境顯示裝置。一個實施例中,該方法包括,在基材上形成具有圖案的第一層,且該第一層具有第一折射率。該方法進一步包括,藉由可流動化學氣相沉積(FCVD)製程在該第一層上形成第二層,且該第二層具有小於該第一折射率的第二折射率。
圖1是根據本文所述之一個實施例的處理腔室100的概略剖面側視圖。處理腔室100可以是沉積腔室,例如CVD腔室。處理腔室100可組裝成至少在基材上沉積可流動的膜。處理腔室100包括配置在腔室壁135上方的蓋112,以及配置在蓋112與腔室壁135之間的絕緣環120。第一遠端電漿源(RPS)101配置在蓋112上,且形成於第一RPS 101中的前驅物自由基經過自由基入口組件105和擋板106流入處理腔室100的電漿區115。雖然將第一RPS 101繪示為耦接蓋112,但考量該第一RPS 101與蓋112分隔,且透過一或多個導管而與蓋112流體耦接。在第一RPS 101上形成前驅物氣體入口102,用於使一或多種前驅物氣體流入第一RPS 101。
處理腔室100進一步包括雙區噴頭103。該雙區噴頭103包括第一複數個通道104和第二複數個通道108。該第一複數個通道104和第二複數個通道108並不流體相通。在操作期間,電漿區115中的自由基穿過雙區噴頭103的第一複數個通道104流入處理區域130中,並且一或多種前驅物氣體穿過第二複數個通道108流入處理區域130中。利用雙區噴頭103,避免了自由基與前驅物氣體之間的過早混合及反應。
處理腔室100包括用於在處理期間支撐基材155的基材支撐件165。處理區域130是由雙區噴頭103和基材支撐件165界定。第二RPS 114透過處理腔室100的腔室壁135流體耦接處理區域130。第二RPS 114可以耦接腔室壁135中形成的入口118。由於前驅物氣體和前驅物自由基在雙區噴頭103下方的處理區域130中混合且反應,所以沉積主要是發生在雙區噴頭103下方(除一些微小的反向擴散外)。因此,可在週期性處理之後清潔配置在雙區噴頭103下方的處理腔室100之部件。清潔是指,移除沉積在腔室部件上的材料。清潔自由基是在雙區噴頭103下方(下游)的位置處引入處理區域130。
第一RPS 101組裝成激發前驅物氣體,諸如含矽氣體、含氧氣體、及/或含氮氣體,以形成前驅物自由基,該等前驅物自由基在配置於基材支撐件165上的基材155上形成可流動的膜。該第二RPS 114組裝成激發清潔氣體,例如含氟氣體,以形成清潔處理腔室100之部件的清潔自由基,該部件諸如基材支撐件165和腔室壁135。
處理腔室100進一步包括底部180、形成在底部180中的狹縫閥開口175、及耦接底部180的泵送環150。泵送環150用於從處理腔室100移除殘留的前驅物氣體和自由基。處理腔室100進一步包括複數個升降銷160和軸桿170,該等升降銷用於從基材支撐件165抬升基材155,而軸桿170支撐基材支撐件165。該軸桿170耦接馬達172,而馬達172能夠旋轉軸桿170,進而旋轉基材支撐件165和配置在基材支撐件165上的基材155。在處理或清潔期間旋轉基材支撐件165能夠達成改善的沉積均勻性以及清潔均勻性。
圖2A至圖2D說明根據本文所述的一個實施例的在不同階段期間的光學部件200的概略剖面視圖。如圖2A所示,光學部件200包括圖案化的第一層204,該第一層204配置在基材202的第一表面203上且具有第一RI。基材202可以是圖1中所示的基材155。在一個實施例中,基材202由視覺上透明的材料製成,例如玻璃。基材202的RI範圍為約1.4至約2.0。圖案化的第一層204由透明材料製成,並且第一RI的範圍為約1.7至約2.4。在一個實施例中,基材202的RI與圖案化的第一層204的第一RI相同。在另一實施例中,基材202的RI不同於圖案化的第一層204的第一RI。圖案化的第一層204由金屬氧化物製造,該金屬氧化物例如氧化鈦(TiOx )、氧化鉭(TaOx )、氧化鋯(ZrOx )、氧化鉿(HfOx )或氧化鈮(NbOx )。圖案化的第一層204包括圖案206,並且圖案206包括複數個突起208和複數個隙縫210。相鄰的突起208由隙縫隙210分開。如圖2A所示,突起208具有矩形形狀。突起208可以具有任何其他適合的形狀。具有不同形狀的突起208的範例在圖3A至圖3D中示出。在一個實施例中,突起208是光柵。光柵是複數個平行的細長結構,將光分裂並繞射成沿不同方向行進的多個光束。光柵可以具有不同的形狀,例如正弦、方形、三角形、或鋸齒形的光柵。圖案化的第一層204可透過任何適合的方法形成,諸如電子束微影、奈米轉印微影、或蝕刻。
接著,將基材202以及在該基材上形成的圖案化的第一層204放置到處理腔室中,諸如圖1中所示的處理腔室100。藉由FCVD製程在圖案化的第一層204上形成第二層212。第二層212的可流動本質容許第二層212流進小隙縫中,例如隙縫210。第二層212具有小於第一RI的第二RI。在一個實施方案中,層212的RI範圍為從約1.1至約1.5。
可藉由下述製程步驟形成第二層。在RPS中生成原子氧前驅物,該RPS諸如為處理腔室100的第一RPS 101。原子氧可藉由解離下述物質而生成:含氧前驅物(諸如氧(O2 )、臭氧(O3 ))、氮-氧化合物(例如,NO、NO2 、N2 O等)、氫-氧化合物(例如,H2 O、H2 O2 等)、碳-氧化合物(例如,CO、CO2 等),以及其他含氧前驅物及多種前驅物之組合。然後,將反應性原子氧引入處理區域,諸如圖1中所示的處理腔室100的處理區域130,在該處原子氧可以第一次與矽前驅物混合,該矽前驅物也引入處理區域中。原子氧在適度的溫度(例如,低於100°C之反應溫度)和壓力(例如,約0.1托至約10托;0.5至6托的總腔室壓力等)與矽前驅物(及可存在於反應腔室中的其他沉積前驅物)反應,而形成第二層212,諸如二氧化矽層。在一個實施例中,第二層212是石英層。
矽前驅物可包括不含碳的有機矽烷化合物及/或矽化合物。不含碳的矽前驅物尤其可包括甲矽烷(SiH4 )。有機矽烷化合物可包括有直接Si-C鍵結的化合物及/或有Si-O-C鍵結的化合物。有機矽烷矽前驅物的範例可特別包括:二甲基矽烷、三甲基矽烷、四甲基矽烷、二乙基矽烷、四甲基正矽酸酯(TMOS)、四乙基正矽酸酯(TEOS)、八甲基三矽氧烷(OMTS)、八甲基環四矽氧烷(OMCTS)、四甲基二甲基二甲氧基二矽烷、四甲基環四矽氧烷(TOMCATS)、DMDMOS、DEMS、甲基三乙氧基矽烷(MTES)、苯基二甲基矽烷、及苯基矽烷。
原子氧和矽前驅物在引入處理區域之前不混合。該等前驅物可透過雙區噴頭進入處理區域,該雙區噴頭諸如圖1中所示的雙區噴頭103。當原子氧和矽前驅物在處理區域中反應時,第二層212在圖案化的第一層204上形成。剛沉積的第二層212具有優異的流動性,並且能夠快速遷移到隙縫中,例如隙縫210。
可以執行第二層212的後沉積退火。在一個實施例中,在實質乾燥的氣氛(例如,乾燥的氮、氦、氬等)中第二層212加熱至約300°C至約1000°C(例如,約600°C至約900°C)。該退火從沉積的第二層212移除水分。
在一些實施例中,基材202的兩側能夠用於在上面形成具有不同RI的層。如圖2C所示,具有第三RI的圖案化的第三層214形成在基材202的第二表面205上。圖案化的第三層214具有圖案216,且圖案216包括複數個突起218及複數個隙縫220。圖案化的第三層214可由與圖案化的第一層204相同的材料製造。圖案化的第三層214可藉由與圖案化的第一層204相同的製程形成。在一個實施例中,圖案化的第三層214與圖案化的第一層204相同。另一實施例中,圖案化的第三層214具有與圖案化的第一層204不同的圖案。
接著,如圖2D中所示,在圖案化的第三層214上形成第四層222。該第四層222可以由與第二層212相同的材料製造。第四層222可藉由與第二層212相同的製程形成。光學部件200可用在任何適合的顯示裝置中。例如,在一個實施例中,光學部件200用作擴增實境顯示裝置中的波導或波導組合器。波導是引導光波的結構。波導組合器用在將真實世界影像與虛擬影像結合的擴增實境顯示裝置中。在另一實施例中,光學部件200用作擴增和虛擬實境顯示裝置及3D感測裝置(例如臉部ID和LIDAR)中的平面透鏡/超穎表面。
圖3A至圖3D說明了根據本文所述的實施例的光學部件300的概略剖視圖。如圖3A所示,光學部件300包括基材202、配置在基材202上的圖案化的第一層204、以及設置在圖案化的第一層204上的第二層212。圖案化第一層204包括複數個突起302。每一突起302具有平行四邊形剖面區域,如圖3A所示。突起302可以是光柵。
如圖3B所示,光學部件300包括基材202、配置在基材202上的圖案化的第一層204、及配置在圖案化的第一層204上的第二層212。圖案化第一層204包括複數個突起304。每一突起304具有三角形剖面區域,如圖3B所示。突起304可以是光柵。
如圖3C所示,光學部件300包括基材202、配置在基材202之第一表面203上的圖案化的第一層204、及配置在圖案化的第一層204上的第二層212。該圖案化的第一層204包括複數個突起302。光學部件300進一步包括配置在基材202的第二表面205上的圖案化的第三層214和配置在圖案化的第三層214上的第四層222。圖案化的第三層214包括複數個突起306。在一個實施例中,突起306可與突起302相同。另一實施例中,突起306可與突起302不同。突起302、306可以是光柵。
如圖3D所示,光學部件300包括基材202、配置在基材202的第一表面203上的圖案化的第一層204、及配置在圖案化的第一層204上的第二層212。圖案化的第一層204包括複數個突起304。光學部件300進一步包括配置在基材202的第二表面205上的圖案化的第三層214和配置在圖案化的第三層214上的第四層222。圖案化的第三層214包括複數個突起308。一個實施例中,突起308可與突起304相同。另一實施例中,突起308可與突起304不同。突起304、308可以是光柵。
光學部件300可以用在任何適合的顯示裝置中。例如,在一個實施例中,光學部件300用作擴增實境顯示裝置中的波導或波導組合器。在另一實施例中,光學部件300用作擴增和虛擬實境顯示裝置和3D感測設備(例如臉部ID和LIDAR)中的平坦透鏡/超穎表面。
揭露了一種用於形成光學部件的方法,該等光學部件包括有不同RI的層。在基材上形成具有較高RI的圖案化的第一層,並且使用FCVD製程在圖案化的第一層上形成第二層。光學部件的應用不限於擴增和虛擬實境顯示裝置和3D感測設備。光學部件可用於任何適合的應用中。
雖然前述內容針對本案揭露內容的實施例,但是可以在不脫離本案揭露內容的基本範疇的情況下設計本案揭露內容的其他和進一步的實施例,並且本案揭露內容的範圍由所附申請專利範圍決定。
100‧‧‧處理腔室 101‧‧‧第一RPS 102‧‧‧前驅物氣體入口 103‧‧‧雙區噴頭 104‧‧‧通道 105‧‧‧自由基入口組件 106‧‧‧擋板 108‧‧‧通道 112‧‧‧蓋 114‧‧‧第二RPS 115‧‧‧電漿區 118‧‧‧入口 120‧‧‧絕緣環 130‧‧‧處理區域 135‧‧‧腔室壁 150‧‧‧泵送環 155‧‧‧基材 160‧‧‧升舉銷 165‧‧‧基材支撐件 170‧‧‧軸桿 172‧‧‧馬達 175‧‧‧狹縫閥開口 180‧‧‧底部 200‧‧‧光學部件 202‧‧‧基材 203‧‧‧第一表面 204‧‧‧第一層 205‧‧‧第二表面 206‧‧‧圖案 208‧‧‧突起 210‧‧‧隙縫 212‧‧‧第二層 214‧‧‧第三層 216‧‧‧圖案 218‧‧‧突起 220‧‧‧隙縫 222‧‧‧第四層 300‧‧‧光學部件 302-308‧‧‧突起
透過參考實施例(其中一些於所附圖式中說明),而能夠獲得上文簡要概述的本案揭露內容的更特定的描述,而可詳細理解本案揭露內容的上述特徵。然而,應注意,所附的圖式僅說明示範性實施例,因此不應視為限制本案揭露內容之範疇,且可容許其他等效的實施例。
圖1說明根據本文所述的一個實施例的處理腔室的概略剖面視圖。
圖2A至圖2D說明了根據本文所述的一個實施例的在不同階段期間的光學部件的概略剖面視圖。
圖3A至圖3D說明了根據本文所述的多個實施例的光學部件的概略剖面視圖。
為助於理解,只要可能則使用相同的元件符號表示圖式中共有的相同元件。考量一個實施例的元件和特徵可有利地併入其他實施例中而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200‧‧‧光學部件
202‧‧‧基材
203‧‧‧第一表面
204‧‧‧第一層
205‧‧‧第二表面
212‧‧‧第二層

Claims (20)

  1. 一種用於形成波導(waveguide)之方法,包括:在一基材上形成具有一圖案的一第一層,該第一層具有第一折射率;及藉由一可流動化學氣相沉積製程在該第一層上形成一第二層,該第二層具有小於該第一折射率的第二折射率。
  2. 如請求項1所述之方法,其中該第一折射率之範圍是從約1.7至約2.4。
  3. 如請求項1所述之方法,其中該第一層包括一金屬氧化物。
  4. 如請求項1所述之方法,其中該第一層包括氧化鈦、氧化鉭、氧化鋯、氧化鉿、或氧化鈮。
  5. 如請求項1所述之方法,其中該第二層包括多孔二氧化矽或石英。
  6. 如請求項1所述之方法,其中該第二折射率之範圍是從約1.1至約1.5。
  7. 一種用於形成波導之方法,包括:在一基材上形成具有一圖案的一第一層,該第一層具有範圍從約1.7至約2.4的第一折射率;及藉由一可流動化學氣相沉積製程在該第一層上形成 一第二層,該第二層具有範圍從約1.1至約1.5的第二折射率。
  8. 如請求項7所述之方法,其中該第二層包括多孔二氧化矽或石英。
  9. 如請求項7所述之方法,其中該第一層包括一金屬氧化物。
  10. 如請求項7所述之方法,其中該第一層包括氧化鈦、氧化鉭、氧化鋯、氧化鉿、或氧化鈮。
  11. 如請求項7所述之方法,進一步包括:退火該第二層。
  12. 如請求項11所述之方法,其中退火該第二層包括:將該第二層加熱至約300℃至約1000℃。
  13. 一種用於形成波導之方法,包括:在一基材之一第一表面上形成具有一第一圖案的一第一層,該第一層具有第一折射率且包括一金屬氧化物;及藉由一可流動化學氣相沉積製程在該第一層上形成一第二層,該第二層具有範圍從約1.1至約1.5的第二折射率。
  14. 如請求項13所述之方法,其中該第一折射率之範圍是從約1.7至約2.4。
  15. 如請求項13所述之方法,其中該第二層包 括多孔二氧化矽或石英。
  16. 如請求項13所述之方法,其中該第一層包括氧化鈦、氧化鉭、氧化鋯、氧化鉿、或氧化鈮。
  17. 如請求項13所述之方法,其中該第一層是藉由電子束微影術或奈米轉印微影術形成於該基材之該第一表面上。
  18. 如請求項13所述之方法,進一步包括:在該基材之一第二表面上形成具有第三折射率的一第三層,該第三層具有一第二圖案。
  19. 如請求項18所述之方法,進一步包括:藉由該可流動化學氣相沉積製程在該第三層上形成具有第四折射率的一第四層,該第四折射率小於該第三折射率。
  20. 如請求項19所述之方法,其中該第二圖案與該第一圖案不同。
TW108122573A 2018-06-29 2019-06-27 使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充 TWI715082B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862692255P 2018-06-29 2018-06-29
US62/692,255 2018-06-29

Publications (2)

Publication Number Publication Date
TW202001349A TW202001349A (zh) 2020-01-01
TWI715082B true TWI715082B (zh) 2021-01-01

Family

ID=69008079

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122573A TWI715082B (zh) 2018-06-29 2019-06-27 使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充

Country Status (7)

Country Link
US (1) US20200003937A1 (zh)
EP (1) EP3814811A4 (zh)
JP (1) JP7328264B2 (zh)
KR (1) KR20210014749A (zh)
CN (1) CN112384831B (zh)
TW (1) TWI715082B (zh)
WO (1) WO2020009748A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021233877A1 (en) * 2020-05-18 2021-11-25 Interdigital Ce Patent Holdings, Sas High-uniformity high refractive index material transmissive and reflective diffraction gratings
CN113885106B (zh) * 2021-11-09 2023-03-24 深圳迈塔兰斯科技有限公司 超透镜增透膜的设计方法、装置及电子设备
WO2024084965A1 (ja) * 2022-10-18 2024-04-25 東京エレクトロン株式会社 回折格子の形成方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105575768A (zh) * 2014-10-29 2016-05-11 应用材料公司 可流动膜固化穿透深度改善和应力调谐
US9355886B2 (en) * 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
TW201728777A (zh) * 2015-10-22 2017-08-16 應用材料股份有限公司 沉積包含SiO及SiN之可流動薄膜的方法
TW201734258A (zh) * 2015-11-16 2017-10-01 蘭姆研究公司 紫外光可流動介電質用設備
US20170294339A1 (en) * 2016-04-12 2017-10-12 Tokyo Electron Limited METHODS FOR SiO2 FILLING OF FINE RECESSED FEATURES AND SELECTIVE SiO2 DEPOSITION ON CATALYTIC SURFACES
TW201821432A (zh) * 2016-11-01 2018-06-16 美商慧盛材料美國責任有限公司 製造用於填充表面特徵的低k膜的前驅物及流動cvd方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4827870A (en) * 1987-10-05 1989-05-09 Honeywell Inc. Apparatus for applying multilayer optical interference coating on complex curved substrates
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6762880B2 (en) * 2001-02-21 2004-07-13 Ibsen Photonics A/S Grating structures and methods of making the grating structures
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20100304174A1 (en) 2007-07-19 2010-12-02 Corus Staal Bv Strip of steel having a variable thickness in length direction
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100970935B1 (ko) * 2009-05-21 2010-07-20 주식회사 미뉴타텍 광학필름 및 그 제조방법과 이를 이용한 액정표시장치용 백라이트 유니트
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5929013B2 (ja) * 2011-05-25 2016-06-01 凸版印刷株式会社 着色偽造防止構造体および着色偽造防止媒体
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US8674470B1 (en) * 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US9529959B2 (en) * 2014-02-27 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for pattern correction in e-beam lithography
US9746678B2 (en) * 2014-04-11 2017-08-29 Applied Materials Light wave separation lattices and methods of forming light wave separation lattices
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US10514296B2 (en) 2015-07-29 2019-12-24 Samsung Electronics Co., Ltd. Spectrometer including metasurface
JP6961619B2 (ja) * 2016-05-06 2021-11-05 マジック リープ, インコーポレイテッドMagic Leap, Inc. 光を再指向させるための非対称格子を有するメタ表面および製造方法
KR102259262B1 (ko) * 2016-07-19 2021-05-31 어플라이드 머티어리얼스, 인코포레이티드 유동성 실리콘-함유 막들의 증착

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9355886B2 (en) * 2010-04-15 2016-05-31 Novellus Systems, Inc. Conformal film deposition for gapfill
CN105575768A (zh) * 2014-10-29 2016-05-11 应用材料公司 可流动膜固化穿透深度改善和应力调谐
TW201728777A (zh) * 2015-10-22 2017-08-16 應用材料股份有限公司 沉積包含SiO及SiN之可流動薄膜的方法
TW201734258A (zh) * 2015-11-16 2017-10-01 蘭姆研究公司 紫外光可流動介電質用設備
US20170294339A1 (en) * 2016-04-12 2017-10-12 Tokyo Electron Limited METHODS FOR SiO2 FILLING OF FINE RECESSED FEATURES AND SELECTIVE SiO2 DEPOSITION ON CATALYTIC SURFACES
TW201821432A (zh) * 2016-11-01 2018-06-16 美商慧盛材料美國責任有限公司 製造用於填充表面特徵的低k膜的前驅物及流動cvd方法

Also Published As

Publication number Publication date
EP3814811A4 (en) 2022-03-16
KR20210014749A (ko) 2021-02-09
US20200003937A1 (en) 2020-01-02
CN112384831A (zh) 2021-02-19
EP3814811A1 (en) 2021-05-05
JP7328264B2 (ja) 2023-08-16
TW202001349A (zh) 2020-01-01
CN112384831B (zh) 2023-08-01
WO2020009748A1 (en) 2020-01-09
JP2021530730A (ja) 2021-11-11

Similar Documents

Publication Publication Date Title
TWI715082B (zh) 使用可流動cvd對用於光學部件之微米/奈米結構所進行之隙縫填充
JP7152400B2 (ja) シートを結合するためのシロキサンプラズマ高分子
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
Putkonen et al. Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors
JP4959921B2 (ja) 二酸化珪素ナノラミネートの蒸着
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
JP5530062B2 (ja) 薄膜プロセスの方法
JP5444406B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
TWI395831B (zh) 添加前驅物至氧化矽化學氣相沉積以增進低溫間隙填充的方法
CN102844848A (zh) 通过自由基成分化学气相沉积的共形层
CN102598228A (zh) 拉伸膜的应力管理
JP2009135450A (ja) トレンチ内に誘電層を形成する方法
KR102444339B1 (ko) 도파관 결합기들의 제조 방법
Levrau et al. Atomic layer deposition of TiO2 on surface modified nanoporous low-k films
KR20210094111A (ko) 캡슐화를 위한 pvd 방향성 증착
JP2004301911A (ja) 光導波路及びその製造方法並びに光導波路デバイス
EP1270762B1 (en) PECVD of optical quality silica films
Pecheur et al. Properties of SiO2 films deposited on silicon at low temperatures by plasma enhanced decomposition of hexamethyldisilazane
EP1273677B1 (en) Method of depositing optical films
JP2005275300A (ja) 光導波路デバイスを作製する方法、および光導波路デバイス
TWI835950B (zh) 用於封裝的pvd定向沉積
TW202417245A (zh) 金屬氧化物-矽氧化物疊層膜
JP2023010234A (ja) 半導体装置の製造方法及び成膜装置
Wu et al. Fabrication Process of Silica Film for Optical Waveguides
JP2007271948A (ja) 光導波路の製造方法