CN112384831B - 使用可流动cvd对用于光学部件的微米/纳米结构所进行的间隙填充 - Google Patents

使用可流动cvd对用于光学部件的微米/纳米结构所进行的间隙填充 Download PDF

Info

Publication number
CN112384831B
CN112384831B CN201980043803.6A CN201980043803A CN112384831B CN 112384831 B CN112384831 B CN 112384831B CN 201980043803 A CN201980043803 A CN 201980043803A CN 112384831 B CN112384831 B CN 112384831B
Authority
CN
China
Prior art keywords
layer
refractive index
waveguide
processing region
planar lens
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980043803.6A
Other languages
English (en)
Other versions
CN112384831A (zh
Inventor
傅晋欣
卢多维克·戈代
韦恩·麦克米兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112384831A publication Critical patent/CN112384831A/zh
Application granted granted Critical
Publication of CN112384831B publication Critical patent/CN112384831B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1847Manufacturing methods
    • G02B5/1857Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0883Mirrors with a refractive index gradient
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/04Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of organic materials, e.g. plastics
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/017Head mounted
    • G02B27/0172Head mounted characterised by optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4272Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having plural diffractive elements positioned sequentially along the optical path
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/0101Head-up displays characterised by optical features
    • G02B27/0103Head-up displays characterised by optical features comprising holographic elements
    • G02B2027/0109Head-up displays characterised by optical features comprising holographic elements comprising details concerning the making of holograms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本公开内容的实施方式一般涉及一种用于形成光学部件的方法,该光学部件例如用于虚拟现实或扩增实境显示设备。一个实施方式中,该方法包括,在基板上形成具有图案的第一层,且该第一层具有第一折射率。该方法进一步包括,通过可流动化学气相沉积(FCVD)工艺在该第一层上形成第二层,且该第二层具有小于该第一折射率的第二折射率。

Description

使用可流动CVD对用于光学部件的微米/纳米结构所进行的间 隙填充
技术领域
本公开内容的实施方式一般涉及用于扩增、虚拟、及混合实境的显示设备。更特定而言,本文描述的实施方式提供了一种用于形成显示设备所用的光学部件的方法。
背景技术
一般认为虚拟现实是计算机生成的仿真环境,在该环境中用户有明显的实体存在感。虚拟现实的体验能够以3D生成,且利用头戴式显示器(HMD)观看,该显示器例如眼镜或是具有近眼显示面板作为镜头的其他可穿戴式显示设备,用以显示取代真实环境的虚拟现实环境。
扩增实境实现了一种体验,其中使用者仍能够透过眼镜或其他HMD装置的显示镜头看见,以观看周围环境,也还能够看见为显示而生成的虚拟物体且作为环境的一部分出现的影像。扩增实境能够包括任何类型的输入,例如声音和触觉输入,以及增强或扩增使用者所体验的环境的虚拟图像、图形、和录影。
虚拟现实和扩增实境显示设备都利用光学部件,例如波导或平坦透镜/超颖表面(meta surface),包括具有对比折射率(RI)的微米或纳米结构。在常规上,使用光、电子束、或纳米压印光刻工艺图案化具较低RI的层,且使用原子层沉积(ALD)工艺在经图案化的较低RI层上形成具有较高RI的层。然而,ALD工艺的膜沉积速率非常慢。
因此,需要一种用于形成用于虚拟现实或扩增实境显示设备的光学部件的改良方法。
发明内容
本公开内容的实施方式一般涉及一种用于形成光学部件的方法,该光学部件例如用于虚拟现实或扩增实境显示设备。一个实施方式中,该方法包括,在基板上形成具有图案的第一层,且该第一层具有第一折射率。该方法进一步包括,通过可流动化学气相沉积工艺在该第一层上形成第二层。该第二层具有小于该第一折射率的第二折射率。
另一实施方式中,一种方法包括在基板上形成具有图案的第一层。该第一层具有范围从约1.7至约2.4的第一折射率。该方法进一步包括,通过可流动化学气相沉积工艺在该第一层上形成第二层。该第二层具有范围从约1.1至约1.5的第二折射率。
另一实施方式中,一种方法包括在基板上形成具有第一图案的第一层。该第一层具有第一折射率且包括金属氧化物。该方法进一步包括,通过可流动化学气相沉积工艺在该第一层上形成第二层。该第二层具有范围从约1.1至约1.5的第二折射率。
附图说明
以上简要概述本公开内容的上述详述特征可以被详细理解的方式、以及对本公开内容的更特定描述,可通过参照实施方式来获得,其中一些实施方式绘示于所附图式中。然而,应注意,所附的图式仅绘示示例性实施方式,因而不应视为对本公开内容的范围的限制,且可容许其他等同有效的实施方式。
图1图示根据本文所述的一个实施方式的处理腔室的示意性横截面视图。
图2A至图2D图示了根据本文所述的一个实施方式的在不同阶段期间的光学部件的示意性横截面视图。
图3A至图3D图示了根据本文所述的个实施方式的光学部件的示意性横截面视图。
为了便于理解,尽可能地使用相同的附图标号标示图式中共通的相同元件。考虑到一个实施方式的元件和特征在没有进一步描述下可有利地并入其他实施方式中。
具体实施方式
本公开内容的实施方式一般涉及一种用于形成光学部件的方法,该光学部件例如用于虚拟现实或扩增实境显示设备。一个实施方式中,该方法包括,在基板上形成具有图案的第一层,且该第一层具有第一折射率。该方法进一步包括,通过可流动化学气相沉积(FCVD)工艺在该第一层上形成第二层,且该第二层具有小于该第一折射率的第二折射率。
图1是根据本文所述的一个实施方式的处理腔室100的示意性横截面侧视图。处理腔室100可以是沉积腔室,诸如CVD腔室。处理腔室100可被构造成至少在基板上沉积可流动的膜。处理腔室100包括设置在腔室壁135上方的盖112,和设置在盖112与腔室壁135之间的绝缘环120。第一远程等离子体源(RPS)101设置在盖112上,且形成于第一RPS 101中的前驱物自由基经过自由基入口组件105和挡板106流入处理腔室100的等离子体区115。虽然将第一RPS 101图示为耦接盖112,但考虑该第一RPS 101与盖112分隔,且通过一或多个导管而与盖112流体耦接。在第一RPS 101上形成前驱物气体入口102,用于使一或多种前驱物气体流入第一RPS 101。
处理腔室100进一步包括双区喷头103。该双区喷头103包括第一多个通道104和第二多个通道108。该第一多个通道104和第二多个通道108并不流体相通。在操作期间,等离子体区115中的自由基穿过双区喷头103的第一多个通道104流入处理区域130中,并且一或多种前驱物气体穿过第二多个通道108流入处理区域130中。利用双区喷头103,避免了自由基与前驱物气体之间的过早混合及反应。
处理腔室100包括用于在处理期间支撑基板155的基板支撑件165。处理区域130是由双区喷头103和基板支撑件165界定。第二RPS 114通过处理腔室100的腔室壁135流体耦接处理区域130。第二RPS 114可以耦接腔室壁135中形成的入口118。由于前驱物气体和前驱物自由基在双区喷头103下方的处理区域130中混合且反应,所以除一些微小的反向扩散之外,沉积主要是发生在双区喷头103下方。因此,可在周期性处理之后清洁设置在双区喷头103下方的处理腔室100的部件。清洁是指,移除沉积在腔室部件上的材料。清洁自由基是在双区喷头103下方(下游)的位置处引入处理区域130中。
第一RPS 101被构造成激发前驱物气体,诸如含硅气体、含氧气体、和/或含氮气体,以形成前驱物自由基,所述前驱物自由基在设置于基板支撑件165上的基板155上形成可流动的膜。该第二RPS 114被构造成激发清洁气体,例如含氟气体,以形成清洁处理腔室100的部件的清洁自由基,该部件诸如基板支撑件165和腔室壁135。
处理腔室100进一步包括底部180、形成在底部180中的狭缝阀开口175、及耦接底部180的泵送环150。泵送环150用于从处理腔室100移除残留的前驱物气体和自由基。处理腔室100进一步包括多个升降销160和轴杆170,这些升降销用于从基板支撑件165抬升基板155,而轴杆170支撑基板支撑件165。该轴杆170耦接马达172,而马达172能够旋转轴杆170,进而旋转基板支撑件165和设置在基板支撑件165上的基板155。在处理或清洁期间旋转基板支撑件165能够达成改善的沉积均匀性以及清洁均匀性。
图2A至图2D图示了根据本文所述的一个实施方式的在不同阶段期间的光学部件200的示意性横截面视图。如图2A所示,光学部件200包括图案化的第一层204,该第一层204设置在基板202的第一表面203上且具有第一RI。基板202可以是图1中所示的基板155。在一个实施方式中,基板202由视觉上透明的材料制成,例如玻璃。基板202的RI范围为约1.4至约2.0。图案化的第一层204由透明材料制成,并且第一RI的范围为约1.7至约2.4。在一个实施方式中,基板202的RI与图案化的第一层204的第一RI相同。在另一实施方式中,基板202的RI不同于图案化的第一层204的第一RI。图案化的第一层204由金属氧化物制造,该金属氧化物例如氧化钛(TiOx)、氧化钽(TaOx)、氧化锆(ZrOx)、氧化铪(HfOx)或氧化铌(NbOx)。图案化的第一层204包括图案206,并且图案206包括多个突起208和多个间隙210。相邻的突起208由间隙210分开。如图2A所示,突起208具有矩形形状。突起208可以具有任何其他适合的形状。具有不同形状的突起208的实例在图3A至图3D中示出。在一个实施方式中,突起208是光栅。光栅是将光分裂并衍射成沿不同方向行进的多个光束的多个平行的细长结构。光栅可以具有不同的形状,例如正弦、方形、三角形、或锯齿形的光栅。图案化的第一层204可通过任何适合的方法形成,诸如电子束光刻、纳米压印光刻、或蚀刻。
接着,将基板202以及在该基板上形成的图案化的第一层204放置到处理腔室中,诸如图1中所示的处理腔室100。由FCVD工艺在图案化的第一层204上形成第二层212。第二层212的可流动本质允许第二层212流进小间隙中,例如间隙210。第二层212具有小于第一RI的第二RI。在一个实施方式中,层212的RI范围为从约1.1至约1.5。
可由下述工艺步骤形成第二层。在RPS中生成原子氧前驱物,该RPS诸如为处理腔室100的第一RPS 101。原子氧可通过解离下述含氧前驱物而生成:诸如,氧(O2)、臭氧(O3)、氮-氧化合物(例如,NO、NO2、N2O等)、氢-氧化合物(例如,H2O、H2O2等)、碳-氧化合物(例如,CO、CO2等),以及其他含氧前驱物及数种前驱物的组合。然后,将反应性原子氧引入处理区域,诸如图1中所示的处理腔室100的处理区域130,在该处原子氧可以第一次与硅前驱物混合,该硅前驱物也引入处理区域。原子氧在适度的温度(例如,低于100℃的反应温度)和压力(例如,约0.1托至约10托;0.5至6托的总腔室压力等)与硅前驱物(及可存在于反应腔室中的其他沉积前驱物)反应,而形成第二层212,诸如二氧化硅层。在一个实施方式中,第二层212是石英层。
硅前驱物可包括不含碳的有机硅烷化合物和/或硅化合物。不含碳的硅前驱物尤其可包括甲硅烷(SiH4)。有机硅烷化合物可包括有直接Si-C键合的化合物和/或有Si-O-C键合的化合物。有机硅烷硅前驱物的实例可特别包括:二甲基硅烷、三甲基硅烷、四甲基硅烷、二乙基硅烷、四甲基正硅酸酯(TMOS)、四乙基正硅酸酯(TEOS)、八甲基三硅氧烷(OMTS)、八甲基环四硅氧烷(OMCTS)、四甲基二甲基二甲氧基二硅烷、四甲基环四硅氧烷(TOMCATS)、DMDMOS、DEMS、甲基三乙氧基硅烷(MTES)、苯基二甲基硅烷、及苯基硅烷。
原子氧和硅前驱物在引入处理区域之前不混合。所述前驱物可穿过双区喷头进入处理区域,该双区喷头诸如图1中所示的双区喷头103。当原子氧和硅前驱物在处理区域中反应时,第二层212在图案化的第一层204上形成。刚沉积的第二层212具有优异的流动性,并且能够快速迁移到间隙中,例如间隙210。
可以执行第二层212的后沉积退火。在一个实施方式中,在实质干燥的气氛(例如,干燥的氮、氦、氩等)中第二层212加热至约300℃至约1000℃(例如,约600℃至约900℃)。该退火从沉积的第二层212移除水分。
在一些实施方式中,基板202的两侧能够用于在上面形成具有不同RI的层。如图2C所示,具有第三RI的图案化的第三层214形成在基板202的第二表面205上。图案化的第三层214具有图案216,且图案216包括多个突起218及多个间隙220。图案化的第三层214可由与图案化的第一层204相同的材料制造。图案化的第三层214可由与图案化的第一层204相同的工艺形成。在一个实施方式中,图案化的第三层214与图案化的第一层204相同。另一实施方式中,图案化的第三层214具有与图案化的第一层204不同的图案。
接着,如图2D中所示,在图案化的第三层214上形成第四层222。该第四层222可以由与第二层212相同的材料制造。第四层222可由与第二层212相同的工艺形成。光学部件200可用在任何适合的显示设备中。例如,在一个实施方式中,光学部件200用作扩增实境显示设备中的波导或波导组合器。波导是引导光波的结构。波导组合器用在将真实世界影像与虚拟图像结合的扩增实境显示设备中。在另一实施方式中,光学部件200用作扩增和虚拟现实显示设备及3D感测装置中的平面透镜/超颖表面,所述3D感测装置,诸如脸部识别(face ID)和光学雷达(LIDAR)。
图3A至图3D图示了根据本文所述的实施方式的光学部件300的示意性横截面视图。如图3A所示,光学部件300包括基板202、设置在基板202上的图案化的第一层204、以及设置在图案化的第一层204上的第二层212。图案化第一层204包括多个突起302。每一突起302具有平行四边形横截面区域,如图3A所示。突起302可以是光栅。
如图3B所示,光学部件300包括基板202、设置在基板202上的图案化的第一层204、及设置在图案化的第一层204上的第二层212。图案化第一层204包括多个突起304。每一突起304具有三角形剖面区域,如图3B所示。突起304可以是光栅。
如图3C所示,光学部件300包括基板202、设置在基板202之第一表面203上的图案化的第一层204、及设置在图案化的第一层204上的第二层212。该图案化的第一层204包括多个突起302。光学部件300进一步包括设置在基板202的第二表面205上的图案化的第三层214和设置在图案化的第三层214上的第四层222。图案化的第三层214包括多个突起306。在一个实施方式中,突起306可与突起302相同。另一实施方式中,突起306可与突起302不同。突起302、306可以是光栅。
如图3D所示,光学部件300包括基板202、设置在基板202的第一表面203上的图案化的第一层204、及设置在图案化的第一层204上的第二层212。图案化的第一层204包括多个突起304。光学部件300进一步包括设置在基板202的第二表面205上的图案化的第三层214和设置在图案化的第三层214上的第四层222。图案化的第三层214包括多个突起308。一个实施方式中,突起308可与突起304相同。另一实施方式中,突起308可与突起304不同。突起304、308可以是光栅。
光学部件300可以用在任何适合的显示设备中。例如,在一个实施方式中,光学部件300用作扩增实境显示设备中的波导或波导组合器。在另一实施方式中,光学部件300用作扩增和虚拟现实显示设备和3D感测设备中的平坦透镜/超颖表面,所述3D感测设备,诸如face ID和LIDAR。
公开了一种用于形成光学部件的方法,所述光学部件包括有不同RI的层。在基板上形成具有较高RI的图案化的第一层,并且使用FCVD工艺在图案化的第一层上形成第二层。光学部件的应用不限于扩增和虚拟现实显示设备和3D感测设备。光学部件可用于任何适合的应用中。
虽然前述内容针对本公开内容的实施方式,但是可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由随附权利要求书来确定。

Claims (18)

1.一种用于形成扩增实境或虚拟现实显示设备的光学部件的方法,包括:
形成所述扩增实境或虚拟现实显示设备的所述光学部件的波导或平坦透镜,所述波导或所述平坦透镜具有设置在处理区域中的基板上的图案,所述波导或所述平坦透镜具有第一折射率并包括氧化钛、氧化钽、氧化锆、氧化铪、或氧化铌;及
在小于100℃的温度和介于0.1托至10托之间的压力下通过可流动化学气相沉积工艺直接在所述波导或所述平坦透镜上形成层,所述层具有小于所述第一折射率的第二折射率,其中所述可流动化学气相沉积工艺包括:
生成原子氧前驱物;及
将所述原子氧前驱物引入所述处理区域,其中硅前驱物设置在所述处理区域中,使得在将所述原子氧前驱物引入所述处理区域之前所述原子氧前驱物和所述硅前驱物不混合。
2.如权利要求1所述的方法,其中所述第一折射率的范围是从1.7至2.4。
3.如权利要求1所述的方法,其中所述层包括多孔二氧化硅。
4.如权利要求1所述的方法,其中所述层包括石英。
5.如权利要求1所述的方法,其中所述第二折射率的范围是从1.1至1.5。
6.一种用于形成扩增实境或虚拟现实显示设备的光学部件的方法,包括:
形成所述扩增实境或虚拟现实显示设备的所述光学部件的波导或平坦透镜,所述波导或所述平坦透镜具有设置在处理区域中的基板上的图案,所述波导或所述平坦透镜具有第一折射率并包括氧化钛、氧化钽、氧化锆、氧化铪、或氧化铌;及
在小于100℃的温度和介于0.1托至10托之间的压力下通过可流动化学气相沉积工艺直接在所述波导或所述平坦透镜上形成层,所述层具有范围从1.1至1.5的第二折射率,其中所述可流动化学气相沉积工艺包括:
生成原子氧前驱物;及
将所述原子氧前驱物引入所述处理区域,其中硅前驱物设置在所述处理区域中,使得在将所述原子氧前驱物引入所述处理区域之前所述原子氧前驱物和所述硅前驱物不混合。
7.如权利要求6所述的方法,进一步包括:退火所述层。
8.如权利要求7所述的方法,其中退火所述层的步骤包括:将所述层加热至300℃至1000℃。
9.如权利要求6所述的方法,其中所述层包括多孔二氧化硅。
10.如权利要求6所述的方法,其中所述层包括石英。
11.一种用于形成扩增实境或虚拟现实显示设备的光学部件的方法,包括:
形成所述扩增实境或虚拟现实显示设备的所述光学部件的波导或平坦透镜,所述波导或所述平坦透镜具有设置在处理区域中的基板的第一表面上的图案,所述波导或所述平坦透镜具有第一折射率且包括氧化钛、氧化钽、氧化锆、氧化铪、或氧化铌;及
在小于100℃的温度和介于0.1托至10托之间的压力下通过可流动化学气相沉积工艺在直接所述波导或所述平坦透镜上形成层,所述层具有范围从1.1至1.5的第二折射率,其中所述可流动化学气相沉积工艺包括:
生成原子氧前驱物;及
将所述原子氧前驱物引入所述处理区域,其中硅前驱物设置在所述处理区域中,使得在将所述原子氧前驱物引入所述处理区域之前所述原子氧前驱物和所述硅前驱物不混合。
12.如权利要求11所述的方法,其中所述波导或平坦透镜是通过电子束光刻或纳米压印光刻术形成于所述基板的所述第一表面上。
13.如权利要求11所述的方法,进一步包括:在所述基板的第二表面上形成具有第三折射率的第二层,所述第二层具有第二图案。
14.如权利要求13所述的方法,进一步包括:通过所述可流动化学气相沉积工艺在所述第二层上形成具有第四折射率的第三层,所述第四折射率小于所述第三折射率。
15.如权利要求14所述的方法,其中所述第二图案与所述图案不同。
16.如权利要求11所述的方法,其中所述第一折射率的范围是从1.7至2.4。
17.如权利要求11所述的方法,其中所述层包括多孔二氧化硅。
18.如权利要求11所述的方法,其中所述层包括石英。
CN201980043803.6A 2018-06-29 2019-05-17 使用可流动cvd对用于光学部件的微米/纳米结构所进行的间隙填充 Active CN112384831B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862692255P 2018-06-29 2018-06-29
US62/692,255 2018-06-29
PCT/US2019/032985 WO2020009748A1 (en) 2018-06-29 2019-05-17 Using flowable cvd to gap fill micro/nano structures for optical components

Publications (2)

Publication Number Publication Date
CN112384831A CN112384831A (zh) 2021-02-19
CN112384831B true CN112384831B (zh) 2023-08-01

Family

ID=69008079

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980043803.6A Active CN112384831B (zh) 2018-06-29 2019-05-17 使用可流动cvd对用于光学部件的微米/纳米结构所进行的间隙填充

Country Status (7)

Country Link
US (1) US20200003937A1 (zh)
EP (1) EP3814811A4 (zh)
JP (1) JP7328264B2 (zh)
KR (1) KR20210014749A (zh)
CN (1) CN112384831B (zh)
TW (1) TWI715082B (zh)
WO (1) WO2020009748A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021233877A1 (en) * 2020-05-18 2021-11-25 Interdigital Ce Patent Holdings, Sas High-uniformity high refractive index material transmissive and reflective diffraction gratings
CN113885106B (zh) * 2021-11-09 2023-03-24 深圳迈塔兰斯科技有限公司 超透镜增透膜的设计方法、装置及电子设备
WO2024084965A1 (ja) * 2022-10-18 2024-04-25 東京エレクトロン株式会社 回折格子の形成方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4827870A (en) * 1987-10-05 1989-05-09 Honeywell Inc. Apparatus for applying multilayer optical interference coating on complex curved substrates
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6762880B2 (en) * 2001-02-21 2004-07-13 Ibsen Photonics A/S Grating structures and methods of making the grating structures
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20100304174A1 (en) 2007-07-19 2010-12-02 Corus Staal Bv Strip of steel having a variable thickness in length direction
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR100970935B1 (ko) * 2009-05-21 2010-07-20 주식회사 미뉴타텍 광학필름 및 그 제조방법과 이를 이용한 액정표시장치용 백라이트 유니트
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5929013B2 (ja) * 2011-05-25 2016-06-01 凸版印刷株式会社 着色偽造防止構造体および着色偽造防止媒体
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US8674470B1 (en) * 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US9529959B2 (en) * 2014-02-27 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for pattern correction in e-beam lithography
US9746678B2 (en) * 2014-04-11 2017-08-29 Applied Materials Light wave separation lattices and methods of forming light wave separation lattices
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
US10514296B2 (en) 2015-07-29 2019-12-24 Samsung Electronics Co., Ltd. Spectrometer including metasurface
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10049913B2 (en) 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces
JP6961619B2 (ja) * 2016-05-06 2021-11-05 マジック リープ, インコーポレイテッドMagic Leap, Inc. 光を再指向させるための非対称格子を有するメタ表面および製造方法
KR102259262B1 (ko) * 2016-07-19 2021-05-31 어플라이드 머티어리얼스, 인코포레이티드 유동성 실리콘-함유 막들의 증착
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
夏征农.信息功能材料.《大辞海 第37卷 材料科学卷》.2015, *

Also Published As

Publication number Publication date
EP3814811A4 (en) 2022-03-16
KR20210014749A (ko) 2021-02-09
US20200003937A1 (en) 2020-01-02
CN112384831A (zh) 2021-02-19
EP3814811A1 (en) 2021-05-05
JP7328264B2 (ja) 2023-08-16
TW202001349A (zh) 2020-01-01
TWI715082B (zh) 2021-01-01
WO2020009748A1 (en) 2020-01-09
JP2021530730A (ja) 2021-11-11

Similar Documents

Publication Publication Date Title
CN112384831B (zh) 使用可流动cvd对用于光学部件的微米/纳米结构所进行的间隙填充
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
JP4959921B2 (ja) 二酸化珪素ナノラミネートの蒸着
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
JP4536113B2 (ja) 光学素子の基板を直接接合するシステムおよび方法
KR101514867B1 (ko) 성막 방법 및 성막 장치
US7325419B2 (en) Method of forming a phosphorus doped optical core using a PECVD process
US10409001B2 (en) Waveguide fabrication with sacrificial sidewall spacers
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
TWI395831B (zh) 添加前驅物至氧化矽化學氣相沉積以增進低溫間隙填充的方法
CN105900214A (zh) 通过使用远程等离子体pecvd的fcvd硬件形成的可流动碳膜
TW201133624A (en) Stress management for tensile films
CN102498551A (zh) 使用非碳可流动cvd处理形成氧化硅
JP2008235857A (ja) 薄膜プロセスの方法
TW201330103A (zh) 減少間隙填充製程期間基板差排之方法
WO2019147315A1 (en) Controlling grating outcoupling strength for ar waveguide combiners
TW202235931A (zh) 具有結構及折射率漸變之光學元件及其製造方法
KR100588081B1 (ko) 이산화실리콘 막의 생성방법
JP2004301911A (ja) 光導波路及びその製造方法並びに光導波路デバイス
EP1270762B1 (en) PECVD of optical quality silica films
TW201246296A (en) Pattern forming method
EP1352991B1 (en) Method of preventing cracking in optical quality silica layers
EP1273677B1 (en) Method of depositing optical films
US7062141B2 (en) Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
JP2005340702A (ja) 層間膜の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant