JP7328264B2 - 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用 - Google Patents

光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用 Download PDF

Info

Publication number
JP7328264B2
JP7328264B2 JP2020573302A JP2020573302A JP7328264B2 JP 7328264 B2 JP7328264 B2 JP 7328264B2 JP 2020573302 A JP2020573302 A JP 2020573302A JP 2020573302 A JP2020573302 A JP 2020573302A JP 7328264 B2 JP7328264 B2 JP 7328264B2
Authority
JP
Japan
Prior art keywords
layer
forming
processing region
atomic oxygen
refractive index
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020573302A
Other languages
English (en)
Other versions
JP2021530730A (ja
Inventor
ジンシン フー,
ルドヴィーク ゴデット,
ウェイン マクミラン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021530730A publication Critical patent/JP2021530730A/ja
Application granted granted Critical
Publication of JP7328264B2 publication Critical patent/JP7328264B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1847Manufacturing methods
    • G02B5/1857Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0883Mirrors with a refractive index gradient
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/04Optical elements characterised by the material of which they are made; Optical coatings for optical elements made of organic materials, e.g. plastics
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/017Head mounted
    • G02B27/0172Head mounted characterised by optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4272Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having plural diffractive elements positioned sequentially along the optical path
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/01Head-up displays
    • G02B27/0101Head-up displays characterised by optical features
    • G02B27/0103Head-up displays characterised by optical features comprising holographic elements
    • G02B2027/0109Head-up displays characterised by optical features comprising holographic elements comprising details concerning the making of holograms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)
  • Optical Integrated Circuits (AREA)

Description

[0001]本開示の実施形態は、概して、拡張現実、仮想現実、及び混合現実のためのディスプレイデバイスに関する。より具体的には、本明細書に記載される実施形態は、ディスプレイデバイスのための光学部品を形成するための方法を提供する。
関連技術の説明
[0002]仮想現実は、概して、ユーザが見かけ上の物理的存在を有するコンピュータが生成したシミュレート環境であると考えられる。仮想現実体験は、3Dで生成され、実際の環境に取って代わる仮想現実環境を表示するためのレンズとしての目に近いディスプレイパネルを有する眼鏡又は他の着用可能なディスプレイデバイスといった、ヘッド装着型ディスプレイ(HMD)で見ることができる。
[0003]拡張現実は、ユーザが、周囲環境を見るために、眼鏡又は他のHMDデバイスのディスプレイレンズを通してなおも見ることができ、更に表示目的で生成され環境の一部として現れる仮想物体の画像をも見ることができる体験を可能にする。拡張現実は、オーディオ入力及び触覚入力といった任意の種類の入力、並びにユーザが経験する環境を強化又は拡張する仮想画像、グラフィック及びビデオを含みうる。
[0004]仮想現実ディスプレイデバイスと拡張現実ディスプレイデバイスの両方が、対照的な屈折率(RI)を有するマイクロ構造又はナノ構造を含む、導波器又は平坦なレンズ/メタ表面などの光学部品を利用する。従来、RIがより低い層は、光、電子ビーム、又はナノインプリントリソグラフィ処理を使用してパターニングされ、RIがより高い層は、原子層堆積(ALD)処理を使用して、パターニングされたより低いRI層上に形成される。しかしながら、ALD処理の膜堆積速度は非常に遅い。
[0005]従って、仮想現実又は拡張現実ディスプレイデバイス用の光学部品を形成するための改良された方法が必要とされる。
[0006]本開示の実施形態は、概して、例えば、仮想現実又は拡張現実ディスプレイデバイスのための、光学部品を形成するための方法に関する。1つの実施形態では、方法は、基板の上にパターンを有する第1の層を形成することを含み、第1の層は第1の屈折率を有する。この方法は、流動性化学気相堆積処理によって第1の層上に第2の層を形成することを更に含む。第2の層は、第1の屈折率より小さい第2の屈折率を有する。
[0007]別の実施形態では、方法は、基板の上にパターンを有する第1の層を形成することを含む。第1の層は、約1.7から約2.4の範囲の第1の屈折率を有する。この方法は、流動性化学気相堆積処理によって第1の層上に第2の層を形成することを更に含む。第2の層は、約1.1から約1.5の範囲の第2の屈折率を有する。
[0008]別の実施形態では、方法は、基板の上に第1のパターンを有する第1の層を形成することを含む。第1の層は、第1の屈折率を有し、金属酸化物を含む。この方法は、流動性化学気相堆積処理によって第1の層の上に第2の層を形成することを更に含む。第2の層は、約1.1から約1.5の範囲の第2の屈折率を有する。
[0009]本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部が添付図面に示される。しかしながら、添付図面は例示的な実施形態を示しているにすぎず、従って、本開示の範囲を限定すると見なされるべきではなく、その他の等しく有効な実施形態を許容しうることに留意されたい。
[0010]本明細書に記載の1つの実施形態による処理チャンバの概略断面図を示す。 [0011]A‐D図は、本明細書に記載の1つの実施形態による、様々な段階中の光学部品の概略断面図を示す。 [0012]A‐D図は、本明細書に記載の実施形態による光学部品の概略断面図を示す。
[0013]理解を容易にするために、図に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。1つの実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると想定される。
[0014]本開示の実施形態は、概して、例えば、仮想現実又は拡張現実ディスプレイデバイスのための、光学部品を形成するための方法に関する。1つの実施形態では、この方法は、基板の上にパターンを有する第1の層を形成することを含み、第1の層は第1の屈折率を有する。この方法は、流動性化学気相堆積(FCVD)処理によって第1の層の上に第2の層を形成することを更に含み、第2の層は、第1の屈折率より小さい第2の屈折率を有する。
[0015]図1は、本明細書に記載の1つの実施形態による処理チャンバ100の概略断面側面図である。処理チャンバ100は、CVDチャンバなどの堆積チャンバでありうる。処理チャンバ100は、少なくとも基板の上に流動性膜を堆積させるように構成されうる。処理チャンバ100は、チャンバ壁135の上に配置されたリッド112と、リッド112とチャンバ壁135との間に配置された絶縁リング120とを含む。第1の遠隔プラズマ源(RPS)101がリッド112上に配置され、第1のRPS101内で形成された前駆体ラジカルが、ラジカル入口アセンブリ105及びバッフル106を介して、処理チャンバ100のプラズマゾーン115内に流入する。第1のRPS101は、リッド112に連結されるように示されているが、第1のRPS101は、リッド112から離間され、1つ又は複数の導管によってリッド112に流体連結されてもよいと企図される。前駆体ガス入口102は、1つ又は複数の前駆体ガスを第1のRPS101に流入させるために、第1のRPS101上に形成される。
[0016]処理チャンバ100は、デュアルゾーンシャワーヘッド103を更に含む。デュアルゾーンシャワーヘッド103は、第1の複数のチャネル104及び第2の複数のチャネル108を含む。第1の複数のチャネル104及び第2の複数のチャネル108は、流体連結していない。動作中に、プラズマゾーン115内のラジカルは、デュアルゾーンシャワーヘッド103の第1の複数のチャネル104を通って処理領域130に流入し、1つ又は複数の前駆体ガスは、第2の複数のチャネル108を通って処理領域130に流入する。デュアルゾーンシャワーヘッド103により、ラジカルと前駆体ガスとの間の早すぎる混合及び反応が回避される。
[0017]処理チャンバ100は、処理中に基板155を支持するための基板支持体165を含む。処理領域130は、デュアルゾーンシャワーヘッド103及び基板支持体165によって画定される。第2のRPS114は、処理チャンバ100のチャンバ壁135を通して処理領域130に流体連結される。第2のRPS114は、チャンバ壁135に形成された入口118に連結されうる。前駆体ガス及び前駆体ラジカルは、デュアルゾーンシャワーヘッド103の下の処理領域130で混ざり反応するので、堆積は、いくつかの小さな逆拡散を除き、主にデュアルゾーンシャワーヘッド103の下で起こる。したがって、デュアルゾーンシャワーヘッド103の下に配置された処理チャンバ100の部品は、定期的な処理の後に洗浄されうる。洗浄とは、チャンバ部品上に堆積した材料を除去することを指す。洗浄ラジカルは、デュアルゾーンシャワーヘッド103の下(下流)の位置で処理領域130に導入される。
[0018]第1のRPS101は、シリコン含有ガス、酸素含有ガス、及び/又は窒素含有ガスなどの前駆体ガスを励起して、基板支持体165上に配置された基板155上に流動性膜を形成する前駆体ラジカルを形成するように構成される。第2のRPS114は、フッ素含有ガスなどの洗浄ガスを励起して、基板支持体165及びチャンバ壁135などの処理チャンバ100の部品を洗浄する洗浄ラジカルを形成するように構成される。
[0019]処理チャンバ100は、底部180と、底部180内に形成されたスリットバルブ開口部175と、底部180に連結されたポンピングリング150とを更に含む。ポンピングリング150は、処理チャンバ100から残留前駆体ガス及びラジカルを除去するために利用される。処理チャンバ100は、基板支持体165から基板155を持ち上げるための複数のリフトピン160と、基板支持体165を支持するシャフト170とを更に含む。シャフト170は、シャフト170を回転させることができるモータ172に連結されており、このモータは、基板支持体165、及び基板支持体165上に配置された基板155を回転させる。処理又は洗浄中に基板支持体165を回転させることにより、改善された堆積の均一性に加え、洗浄の均一性も実現することができる。
[0020]図2Aから図2Dは、本明細書に記載の1つの実施形態による、異なる段階中の光学部品200の概略断面図を示す。図2Aに示すように、光学部品200は、基板202の第1の表面203上に配置された第1のRIを有するパターニングされた第1の層204を含む。基板202は、図1に示す基板155でありうる。1つの実施形態では、基板202は、ガラスなどの視覚的に透明な材料から製造される。基板202は、約1.4から約2.0の範囲のRIを有する。パターニングされた第1の層204は、透明な材料から製造され、第1のRIは、約1.7から約2.4の範囲である。1つの実施形態では、基板202のRIは、パターニングされた第1の層204の第1のRIと同じである。別の実施形態では、基板202のRIは、パターニングされた第1の層204の第1のRIとは異なる。パターニングされた第1の層204は、酸化チタン(TiO)、酸化タンタル(TaO)、酸化ジルコニウム(ZrO)、酸化ハフニウム(HfO)、又は酸化ニオブ(NbO)などの金属酸化物から製造される。パターニングされた第1の層204は、パターン206を含み、パターン206は、複数の突起208及び複数の間隙210を含む。隣接する突起208は、間隙210によって分離されている。図2Aに示されるように、突起208は、長方形の形状を有する。突起208は、任意の他の適切な形状を有してもよい。異なる形状を有する突起208の例が、図3Aから図3Dに示される。1つの実施形態では、突起208は格子である。格子は、光を異なる方向に進むいくつかのビームに分割及び回折する複数の平行な細長い構造である。格子は、正弦、正方形、三角形、又は鋸歯状の格子といった異なる形状を有しうる。パターニングされた第1の層204は、電子ビームリソグラフィ、ナノインプリントリソグラフィ、又はエッチングなどの任意の適切な方法によって形成されうる。
[0021]次に、基板202及びその上に形成されたパターニングされた第1の層204が、図1に示す処理チャンバ100などの処理チャンバ内に配置される。第2の層212は、FCVD処理によってパターニングされた第1の層204上に形成される。第2の層212の流動性により、第2の層212は、間隙210のような小さな間隙に流入可能となる。第2の層212は、第1のRIよりも小さい第2のRIを有する。1つの実施形態では、層212は、約1.1から約1.5の範囲のRIを有する。
[0022]第2の層は、以下の処理ステップによって形成されうる。原子状酸素前駆体は、処理チャンバ100の第1のRPS101などのRPS内で生成される。原子状酸素は、分子状酸素(O)、オゾン(O)、窒素-酸素化合物(例えば、NO、NO、NOなど)、水素-酸素化合物(例えば、HO、Hなど)、炭素-酸素化合物(例えば、CO、COなど)、並びに他の酸素含有前駆体及び前駆体の組み合わせなどの酸素含有前駆体の解離によって生成されうる。次に、反応性原子状酸素は、図1に示す処理チャンバ100の処理領域130などの処理領域に導入され、ここで、原子状酸素は、同様に処理領域に導入されるシリコン前駆体と初めて混合されうる。原子状酸素は、適温(例えば、100℃未満の反応温度)及び圧力(例えば、約0.1Torrから約10Torr、0.5から6Torrの全チャンバ圧力など)でシリコン前駆体(及び反応チャンバ内に存在しうる他の堆積前駆体)と反応して、二酸化ケイ素層などの第2の層212を形成する。1つの実施形態では、第2の層212は、石英層である。
[0023]シリコン前駆体は、炭素を含まない有機シラン化合物及び/又はシリコン化合物を含みうる。炭素を含まないシリコン前駆体は、とりわけシラン(SiH)を含みうる。有機シラン化合物は、直接Si-C結合を有する化合物及び/又はSi-O-C結合を有する化合物を含みうる。有機シランシリコン前駆体の例は、とりわけ、ジメチルシラン、トリメチルシラン、テトラメチルシラン、ジエチルシラン、テトラメチルオルトシリケート(TMOS)、テトラエチルオルトシリケート(TEOS)、オクタメチルトリシロキサン(OMTS)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチルジメチルジメトキシジシラン、テトラメチルシクロテトラシロキサン(TOMCATS)、DMDMOS、DEMS、メチルトリエトキシシラン(MTES)、フェニルジメチルシラン、及びフェニルシランを含みうる。
[0024]原子状酸素及びシリコン前駆体は、処理領域に導入される前に混合されない。前駆体は、図1に示すデュアルゾーンシャワーヘッド103のようなデュアルゾーンシャワーヘッドを通って処理領域に進入しうる。原子状酸素及びシリコン前駆体が処理領域内で反応すると、第2の層212がパターニングされた第1の層204上に形成される。堆積された第2の層212は、優れた流動性を有し、間隙210のような間隙内に迅速に移動することができる。
[0025]第2の層212の堆積後アニールが実行されうる。1つの実施形態では、第2の層212は、実質的に乾燥雰囲気(例えば、乾燥窒素、ヘリウム、アルゴンなど)中で、約300℃から約1000℃(例えば、約600℃から約900℃)に加熱される。アニールは、堆積された第2の層212から水分を除去する。
[0026]いくつかの実施形態では、基板202の両側は、上部に異なるRIを有する層を形成するために利用することができる。図2Cに示すように、第3のRIを有するパターニングされた第3の層214が、基板202の第2の表面205上に形成される。パターニングされた第3の層214は、パターン216を有し、パターン216は、複数の突起218及び複数の間隙220を含む。パターニングされた第3の層214は、パターニングされた第1の層204と同じ材料から製造されうる。パターニングされた第3の層214は、パターニングされた第1の層204と同じプロセスによって形成されうる。1つの実施形態では、パターニングされた第3の層214は、パターニングされた第1の層204と同一である。別の実施形態では、パターニングされた第3の層214は、パターニングされた第1の層204とは異なるパターンを有する。
[0027]次に、図2Dに示されるように、パターニングされた第3の層214の上に、第4の層222が形成される。第4の層222は、第2の層212と同じ材料から製造されうる。第4の層222は、第2の層212と同じプロセスによって形成されうる。光学部品200は、任意の適切なディスプレイデバイスで使用されうる。例えば、1つの実施形態では、光学部品200は、拡張現実ディスプレイデバイスにおいて導波器又は導波結合器として使用される。導波器は、光波を導く構造である。導波結合器は、実世界画像を虚像と結合する拡張現実ディスプレイデバイスで使用される。別の実施形態では、光学部品200は、拡張及び仮想現実ディスプレイデバイス並びにフェースID及びLIDARのような3D感知デバイスにおける平坦レンズ/メタ表面として使用される。
[0028]図3Aから図3Dは、本明細書に記載の実施形態による光学部品300の概略断面図を示す。図3Aに示すように、光学部品300は、基板202、基板202上に配置されたパターニングされた第1の層204、及びパターニングされた第1の層204上に配置された第2の層212を含む。パターニングされた第1の層204は、複数の突起302を含む。突起302の各々は、図3Aに示されるように、平行四辺形の断面積を有する。突起302は、格子であってもよい。
[0029]図3Bに示すように、光学部品300は、基板202、基板202上に配置されたパターニングされた第1の層204、及びパターニングされた第1の層204上に配置された第2の層212を含む。パターニングされた第1の層204は、複数の突起304を含む。突起304の各々は、図3Bに示されるように、三角形の断面積を有する。突起304は、格子であってもよい。
[0030]図3Cに示すように、光学部品300は、基板202、基板202の第1の表面203上に配置されたパターニングされた第1の層204、及びパターニングされた第1の層204上に配置された第2の層212を含む。パターニングされた第1の層204は、複数の突起302を含む。光学部品300は、基板202の第2の表面205上に配置されたパターニングされた第3の層214と、パターニングされた第3の層214上に配置された第4の層222とを更に含む。パターニングされた第3の層214は、複数の突起306を含む。1つの実施形態では、突起306は、突起302と同じでありうる。別の実施形態では、突起306は、突起302と同じでなくてもよい。突起302、306は格子であってもよい。
[0031]図3Dに示すように、光学部品300は、基板202、基板202の第1の表面203上に配置されたパターニングされた第1の層204、及びパターニングされた第1の層204上に配置された第2の層212を含む。パターニングされた第1の層204は、複数の突起304を含む。光学部品300は、基板202の第2の表面205上に配置されたパターニングされた第3の層214と、パターニングされた第3の層214上に配置された第4の層222とを更に含む。パターニングされた第3の層214は、複数の突起308を含む。1つの実施形態では、突起308は、突起304と同じでありうる。別の実施形態では、突起308は、突起304と同じでなくてもよい。突起304、308は格子であってもよい。
[0032]光学部品300は、任意の適切なディスプレイデバイスで使用されうる。例えば、1つの実施形態では、光学部品300は、拡張現実ディスプレイデバイスにおいて導波器又は導波結合器として使用される。別の実施形態では、光学部品300は、拡張及び仮想現実ディスプレイデバイス並びにフェースID及びLIDARのような3D感知デバイスにおける平坦レンズ/メタ表面として使用される。
[0033]異なるRIを有する層を含む光学部品を形成するための方法が開示される。RIがより高いパターニングされた第1の層が基板の上に形成され、第2の層が、FCVD処理を用いてパターニングされた第1の層の上に形成される。光学部品の用途は、拡張及び仮想現実ディスプレイデバイス及び3Dセンシングデバイスに限定されない。光学部品は、任意の適切な用途で使用することができる。
[0034]上記は本開示の実施形態を対象としているが、本開示の他の実施形態及び更なる実施形態が、その基本的な範囲から逸脱することなく考案されてもよく、その範囲は以下の特許請求の範囲によって決定される。

Claims (8)

  1. 拡張現実又は仮想現実ディスプレイデバイスの光学部品を形成するための方法であって、
    拡張現実または仮想現実ディスプレイデバイスの前記光学部品の導波器又は平坦レンズを形成することであって、前記導波器又は前記平坦レンズは、処理領域に配置された基板の上に、それぞれ高さ方向に細長い形状を有し、互いに平行であって規則的に並べられた複数の回折格子及び複数の間隙を有するパターンを有し、1.7から2.4の範囲の第1の屈折率を有し、かつ酸化チタン、酸化タンタル、酸化ジルコニウム、酸化ハフニウム、又は酸化ニオブを含む、拡張現実または仮想現実ディスプレイデバイスの前記光学部品の導波器又は平坦レンズを形成することと、
    100℃未満の温度で0.1Torrと10Torrの間の圧力で流動性化学気相堆積処理によって、前記導波器又は前記平坦レンズの前記パターンの上に直接、層を形成することであって、前記層が、1.1から1.5の範囲の第2の屈折率を有多孔性二酸化ケイ素又は石英を含む層を形成すること、
    を含み、
    前記流動性化学気相堆積処理は、
    原子状酸素前駆体を生成することと、
    前記原子状酸素前駆体を前記処理領域に導入することであって、シリコン前駆体は、前記原子状酸素前駆体と前記シリコン前駆体が前記処理領域に導入される前に混合されないように、前記処理領域に配置される、前記原子状酸素前駆体を前記処理領域に導入すること、
    を含む、方法。
  2. 前記層をアニーリングすることを更に含む、請求項に記載の方法。
  3. 前記層をアニーリングすることが、前記層を300℃から1000℃まで加熱することを含む、請求項に記載の方法。
  4. 拡張現実又は仮想現実ディスプレイデバイスの光学部品を形成するための方法であって、
    拡張現実または仮想現実ディスプレイデバイスの前記光学部品の導波器又は平坦レンズを形成することであって、前記導波器又は前記平坦レンズは、処理領域に配置された基板の第1の表面上に、それぞれ高さ方向に細長い形状を有し、互いに平行であって規則的に並べられた複数の回折格子及び複数の間隙を有する第1のパターンを有し、1.7から2.4の範囲の第1の屈折率を有し、かつ酸化チタン、酸化タンタル、酸化ジルコニウム、酸化ハフニウム、又は酸化ニオブを含む、拡張現実または仮想現実ディスプレイデバイスの前記光学部品の導波器又は平坦レンズを形成することと、
    100℃未満の温度で0.1Torrと10Torrの間の圧力で流動性化学気相堆積処理によって、前記導波器又は前記平坦レンズの前記第1のパターンの上に直接、層を形成することであって、前記層が、1.1から1.5の範囲の第2の屈折率を有多孔性二酸化ケイ素又は石英を含む層を形成すること、
    を含み、
    前記流動性化学気相堆積処理は、
    原子状酸素前駆体を生成することと、
    前記原子状酸素前駆体を前記処理領域に導入することであって、シリコン前駆体は、前記原子状酸素前駆体と前記シリコン前駆体が前記処理領域に導入される前に混合されないように、前記処理領域に配置される、前記原子状酸素前駆体を前記処理領域に導入すること、を含む、方法。
  5. 前記導波器又は前記平坦レンズが、電子ビームリソグラフィ又はナノインプリントリソグラフィによって前記基板の前記第1の表面上に形成される、請求項に記載の方法。
  6. 前記基板の第2の表面上に第3の屈折率を有する第2の層を形成することを更に含み、前記第2の層が第2のパターンを有する、請求項に記載の方法。
  7. 前記流動性化学気相堆積処理によって前記第2の層の上に前記第3の屈折率よりも小さい第4の屈折率を有する第3の層を形成すること
    を更に含む、請求項に記載の方法。
  8. 前記第2のパターンが、前記第1のパターンとは異なる、請求項に記載の方法。
JP2020573302A 2018-06-29 2019-05-17 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用 Active JP7328264B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862692255P 2018-06-29 2018-06-29
US62/692,255 2018-06-29
PCT/US2019/032985 WO2020009748A1 (en) 2018-06-29 2019-05-17 Using flowable cvd to gap fill micro/nano structures for optical components

Publications (2)

Publication Number Publication Date
JP2021530730A JP2021530730A (ja) 2021-11-11
JP7328264B2 true JP7328264B2 (ja) 2023-08-16

Family

ID=69008079

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020573302A Active JP7328264B2 (ja) 2018-06-29 2019-05-17 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用

Country Status (7)

Country Link
US (1) US20200003937A1 (ja)
EP (1) EP3814811A4 (ja)
JP (1) JP7328264B2 (ja)
KR (1) KR20210014749A (ja)
CN (1) CN112384831B (ja)
TW (1) TWI715082B (ja)
WO (1) WO2020009748A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021233877A1 (en) * 2020-05-18 2021-11-25 Interdigital Ce Patent Holdings, Sas High-uniformity high refractive index material transmissive and reflective diffraction gratings
CN113885106B (zh) * 2021-11-09 2023-03-24 深圳迈塔兰斯科技有限公司 超透镜增透膜的设计方法、装置及电子设备
WO2024084965A1 (ja) * 2022-10-18 2024-04-25 東京エレクトロン株式会社 回折格子の形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009539265A (ja) 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
JP2010507259A (ja) 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2011504651A (ja) 2007-10-22 2011-02-10 アプライド マテリアルズ インコーポレイテッド 基板上に酸化ケイ素層を形成する方法
JP2014532304A (ja) 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US20170030773A1 (en) 2015-07-29 2017-02-02 Samsung Electronics Co., Ltd. Spectrometer including metasurface
JP2017195371A (ja) 2016-04-12 2017-10-26 東京エレクトロン株式会社 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法
US20170322418A1 (en) 2016-05-06 2017-11-09 Magic Leap, Inc. Metasurfaces with asymmetric gratings for redirecting light and methods for fabricating

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4827870A (en) * 1987-10-05 1989-05-09 Honeywell Inc. Apparatus for applying multilayer optical interference coating on complex curved substrates
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6762880B2 (en) 2001-02-21 2004-07-13 Ibsen Photonics A/S Grating structures and methods of making the grating structures
US20100304174A1 (en) 2007-07-19 2010-12-02 Corus Staal Bv Strip of steel having a variable thickness in length direction
KR100970935B1 (ko) * 2009-05-21 2010-07-20 주식회사 미뉴타텍 광학필름 및 그 제조방법과 이를 이용한 액정표시장치용 백라이트 유니트
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
JP5929013B2 (ja) * 2011-05-25 2016-06-01 凸版印刷株式会社 着色偽造防止構造体および着色偽造防止媒体
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US8674470B1 (en) * 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US9529959B2 (en) * 2014-02-27 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for pattern correction in e-beam lithography
US9746678B2 (en) * 2014-04-11 2017-08-29 Applied Materials Light wave separation lattices and methods of forming light wave separation lattices
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9570287B2 (en) * 2014-10-29 2017-02-14 Applied Materials, Inc. Flowable film curing penetration depth improvement and stress tuning
WO2017070192A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11515149B2 (en) * 2016-07-19 2022-11-29 Applied Materials, Inc. Deposition of flowable silicon-containing films
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009539265A (ja) 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
JP2010507259A (ja) 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2011504651A (ja) 2007-10-22 2011-02-10 アプライド マテリアルズ インコーポレイテッド 基板上に酸化ケイ素層を形成する方法
JP2014532304A (ja) 2011-09-23 2014-12-04 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US20170030773A1 (en) 2015-07-29 2017-02-02 Samsung Electronics Co., Ltd. Spectrometer including metasurface
JP2017195371A (ja) 2016-04-12 2017-10-26 東京エレクトロン株式会社 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法
US20170322418A1 (en) 2016-05-06 2017-11-09 Magic Leap, Inc. Metasurfaces with asymmetric gratings for redirecting light and methods for fabricating

Also Published As

Publication number Publication date
JP2021530730A (ja) 2021-11-11
KR20210014749A (ko) 2021-02-09
TW202001349A (zh) 2020-01-01
CN112384831B (zh) 2023-08-01
US20200003937A1 (en) 2020-01-02
EP3814811A4 (en) 2022-03-16
WO2020009748A1 (en) 2020-01-09
TWI715082B (zh) 2021-01-01
CN112384831A (zh) 2021-02-19
EP3814811A1 (en) 2021-05-05

Similar Documents

Publication Publication Date Title
JP7328264B2 (ja) 光学部品のマイクロ/ナノ構造を間隙充填するための流動性cvdの使用
JP4536113B2 (ja) 光学素子の基板を直接接合するシステムおよび方法
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
TW541621B (en) Method for fabricating waveguides
US6768828B2 (en) Integrated optical circuit with dense planarized cladding layer
US10409001B2 (en) Waveguide fabrication with sacrificial sidewall spacers
US6356694B1 (en) Process for producing planar waveguide structures as well as waveguide structure
KR20190055180A (ko) 구조물들의 마이크로리소그래픽 제조
KR102444339B1 (ko) 도파관 결합기들의 제조 방법
CN105739013B (zh) 一种制造平面光波导器件的方法
JP5818523B2 (ja) メソポーラスシリカ膜、メソポーラスシリカ膜を有する構造体、反射防止膜、光学部材及びそれらの製造方法
TW202235931A (zh) 具有結構及折射率漸變之光學元件及其製造方法
JP2022513448A (ja) 封入のためのpvd指向性堆積
US7228045B2 (en) Optical waveguide device and method of manufacturing same
JP2004301911A (ja) 光導波路及びその製造方法並びに光導波路デバイス
US20020182342A1 (en) Optical quality silica films
EP1273677B1 (en) Method of depositing optical films
JPH11295544A (ja) 埋込プレーナ光波回路素子の製造方法
TWI835950B (zh) 用於封裝的pvd定向沉積
WO2024097774A1 (en) Method of applying a dielectric coating on a component of an electrical device
TW202414012A (zh) 波導組合器及其製造方法
TW202417245A (zh) 金屬氧化物-矽氧化物疊層膜
JPH0659146A (ja) グレーティング導波路の製造方法
JP2005345952A (ja) 光導波路部品およびその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210301

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230803

R150 Certificate of patent or registration of utility model

Ref document number: 7328264

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150