KR102259262B1 - 유동성 실리콘-함유 막들의 증착 - Google Patents

유동성 실리콘-함유 막들의 증착 Download PDF

Info

Publication number
KR102259262B1
KR102259262B1 KR1020197002162A KR20197002162A KR102259262B1 KR 102259262 B1 KR102259262 B1 KR 102259262B1 KR 1020197002162 A KR1020197002162 A KR 1020197002162A KR 20197002162 A KR20197002162 A KR 20197002162A KR 102259262 B1 KR102259262 B1 KR 102259262B1
Authority
KR
South Korea
Prior art keywords
butyl
silicon
film
feature
flowable
Prior art date
Application number
KR1020197002162A
Other languages
English (en)
Other versions
KR20190010736A (ko
Inventor
락말 칼루타라게
마크 살리
데이비드 톰슨
아브히지트 바수 말릭
테자스비 아쇽
프라밋 만나
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190010736A publication Critical patent/KR20190010736A/ko
Application granted granted Critical
Publication of KR102259262B1 publication Critical patent/KR102259262B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘-함유 전구체 및 공-반응물에 기판 표면을 노출시킴으로써 유동성 막을 형성하는 것을 포함하는 심리스 갭충전을 위한 방법들이 설명된다. 실리콘-함유 전구체는 적어도 하나의 알케닐 또는 알키닐 기를 갖는다. 유동성 막은 심리스 갭충전을 형성하기 위해 임의의 적합한 경화 프로세스에 의해 경화될 수 있다.

Description

유동성 실리콘-함유 막들의 증착
[0001] 본 개시내용은 일반적으로, 박막들을 증착하는 방법들에 관한 것이다. 특히, 본 개시내용은 유동성 실리콘-함유 막들로 좁은 트렌치(trench)들을 충전(fill)하기 위한 프로세스들에 관한 것이다.
[0002] 마이크로전자 디바이스 제작에서, 다수의 애플리케이션들에 대해, 10:1 초과의 종횡비(AR)들을 갖는 좁은 트렌치들을 공극(void) 없이 충전할 필요가 있다. 하나의 애플리케이션은 STI(shallow trench isolation)에 대한 것이다. 이 애플리케이션의 경우, 막은 매우 낮은 누설로 트렌치 전체에 걸쳐 높은 품질(예컨대, 2 미만의 습식 에칭 레이트 비율을 가짐)로 이루어질 필요가 있다. 구조들의 치수들이 감소되고 종횡비들이 증가됨에 따라, 증착 직후(as deposited) 유동성 막들의 사후 경화 방법들은 어렵게 된다. 결과로, 충전된 트렌치 전체에 걸쳐 조성이 변화되는 막들이 초래된다.
[0003] 유전체 막들의 종래의 플라즈마-강화 화학 기상 증착(PECVD)은 좁은 트렌치들의 상단 상에 "머시룸 형상(mushroom shape)" 막을 형성한다. 이는 플라즈마가 깊은 트렌치들 내에 침투하는 것이 가능하지 않기 때문이다. 좁은 트렌치를 상단으로부터 핀치-오프(pinch-off)하는 것의 결과로, 트렌치의 하단에 공극이 형성된다.
[0004] 부가적으로, 실리콘-함유 막들, 이를테면 SiCO, SiCON, SiCN은 반도체 디바이스들의 제조에서 광범위하게 사용된다. 예컨대, 이들 탄소-함유 갭-충전 막들은 패터닝 애플리케이션들에 대해 사용될 수 있다. 높은 탄소 레벨들의 존재로 인해, 이들 막들은 전형적으로, 산화물 및 질화물 막들과 비교하여 높은 에칭 선택성(etch selectivity)을 나타낸다. 에칭 선택성은 패터닝 애플리케이션들에서 사용될 갭-충전 막들에 대해 중요할 수 있다. 따라서, 실리콘-함유 막들을 증착하기 위한 방법들 및 전구체들이 필요하다.
[0005] 본 개시내용의 하나 또는 그 초과의 실시예들은, 유동성 막을 증착하기 위해 실리콘-함유 전구체 및 공-반응물에 기판 표면을 노출시키는 것을 포함하는 프로세싱 방법들에 관한 것이다. 실리콘-함유 전구체는 적어도 하나의 알케닐 또는 알키닐 기를 갖는다.
[0006] 본 개시내용의 부가적인 실시예들은, 적어도 하나의 피처(feature)를 상부에 갖는 기판 표면을 제공하는 것을 포함하는 프로세싱 방법들에 관한 것이다. 적어도 하나의 피처는 기판 표면으로부터 하단 표면까지의 깊이로 연장되고, 제1 측벽 및 제2 측벽에 의해 정의된 폭을 갖는다. 기판 표면은, 기판 표면, 그리고 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 하단 표면 상에 유동성 막을 형성하기 위해, 실리콘-함유 전구체 및 공-반응물에 노출된다. 유동성 막은 실질적으로 심(seam)이 형성되지 않게 피처를 충전한다. 실리콘 함유 전구체는 구조들 I 내지 V 중 임의의 구조를 갖는 화합물을 포함한다.
Figure 112019007941522-pct00001
여기서, R1 내지 R6 각각은, CR'CR''2, CCR', H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 삼차-부틸, NR'2, 및 Or'로 구성된 그룹으로부터 독립적으로 선택되고, 여기서, R' 및 R''는, H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 및 삼차-부틸로 구성된 그룹으로부터 독립적으로 선택되며, R1 내지 R6 중 적어도 하나는, CR'CR''2 또는 CCR'로 구성된 그룹으로부터 선택된다. 공-반응물은 암모니아 플라즈마를 포함한다. 유동성 막이 경화되어, 막이 응고되고, 실질적인 심-프리(seam-free) 갭충전이 형성된다.
[0007] 본 개시내용의 추가적인 실시예들은, 적어도 하나의 피처를 상부에 갖는 기판 표면을 제공하는 단계를 포함하는 프로세싱 방법들에 관한 것이다. 적어도 하나의 피처는 기판 표면으로부터 하단 표면까지의 깊이로 연장되고, 제1 측벽 및 제2 측벽에 의해 정의된 폭을 갖는다. 기판 표면은, 기판 표면, 그리고 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 하단 표면 상에 유동성 막을 형성하기 위해, 실리콘-함유 전구체 및 공-반응물에 노출된다. 유동성 막은 실질적으로 심이 형성되지 않게 피처를 충전한다. 실리콘 함유 전구체는 테트라비닐실란(Si(CHCH2)4) 또는 실리콘 테트라아세틸라이드(Si(CCH)4) 중 하나 또는 그 초과를 포함하며, 공-반응물은 암모니아 플라즈마를 포함한다. 유동성 막이 경화되어, 막이 응고되고, 실질적인 심-프리 갭충전이 형성된다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 기판 피처의 단면도를 도시한다.
[0010] 도 2는 유동성 막을 상부에 갖는 도 1의 기판 피처의 단면도를 도시한다.
[0011] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예에 따라 증착된 막의 SEM 이미지를 도시한다.
[0012] 본 발명의 여러 예시적인 실시예들을 설명하기 전에, 본 발명이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 발명은 다른 실시예들에 대한 가능성이 있고, 다양한 방식들로 실시 또는 수행되는 것이 가능하다.
[0013] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱하고, 에칭하고, 환원시키고, 산화하고, 수산화하고, 어닐링하고, UV 경화시키고, e-빔 경화시키고, 그리고/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대해 직접적인 막 프로세싱에 부가하여, 본 발명에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0014] 본 개시내용의 실시예들은 작은 치수들을 갖는 고 종횡비(AR) 구조들에 갭-충전 막(예컨대, SiC, SiCO, SiCN, SiCON)을 증착하는 방법들을 제공한다. 일부 실시예들은 유리하게, 클러스터 툴 환경에서 수행될 수 있는 순환 증착-처리 프로세스들을 수반하는 방법들을 제공한다. 일부 실시예들은 유리하게, 작은 치수들을 갖는 고 AR 트렌치들을 충전하기 위해, 심-프리 고 품질 실리콘-함유 막들을 제공한다. 일부 실시예들은 유리하게, 높은 탄소 함유량을 함유하는 막들을 제공한다. 하나 또는 그 초과의 실시예들에서, 높은 탄소 함유량 막들은 유리하게, 하드 마스크 및 저-k 유동성 애플리케이션들에서 사용될 수 있다.
[0015] 본 개시내용의 하나 또는 그 초과의 실시예들은, 고 종횡비 구조들(예컨대, AR > 8:1)을 충전할 수 있는 유동성 실리콘-함유 막들이 증착되는 프로세스들에 관한 것이다. 본 개시내용의 실시예들은 갭충전 애플리케이션들을 위해 F-CVD(flowable chemical vapor deposition)를 사용하여 SiC, SiOC, SiCN, SiOCN, SiO, 및 SiN 유동성 막들을 생성하기 위한 새로운 전구체들을 제공한다. 다양한 실시예들의 전구체들은 알케닐(비닐) 및/또는 알키닐 기들을 포함한다. 하나 또는 그 초과의 실시예들에서, 증착 챔버에서 라디칼 유도 중합을 개시하기 위해, 전구체들이 반응성 라디칼들에 노출된다.
[0016] 증착 직후, 유동성 막들은 일반적으로 안정적이지 않고, 대기 조건들에 대한 노출 시 노화(age)된다. 일부 실시예들의 유동성 막들은 공-반응물들로서의, 예컨대 NH3/O2의 라디칼 형태들 및 실리콘-함유 전구체에 의해 증착된다. 이어서, 이들 막들은 오존/UV/스팀 어닐링/NH3 어닐링 등에 의해 경화되며, 이는 경화된 막을 발생시킨다.
[0017] 설명의 목적들을 위해, 갭충전 애플리케이션들을 위한 유동성 CVD 막들의 증착이 설명된다. 그러나, 설명되는 전구체들 및 방법들이 갭충전 애플리케이션들로 제한되는 것이 아니라 임의의 실리콘-함유 막들에 대해 사용될 수 있다는 것을 당업자는 이해할 것이다. 도 1은 피처(110)를 갖는 기판(100)의 부분 단면도를 도시한다. 도면들이 예시적인 목적들을 위해 단일 피처를 갖는 기판들을 도시하지만, 당업자는 하나 초과의 피처가 존재할 수 있다는 것을 이해할 것이다. 피처(110)의 형상은 트렌치들 및 원통형 비아(via)들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 형상일 수 있다. 이에 대하여 사용되는 바와 같이, "피처"라는 용어는 임의의 의도적 표면 불규칙을 의미한다. 피처들의 적합한 예들은, 상단, 2개의 측벽들 및 하단을 갖는 트렌치들, 상단 및 2개의 측벽들을 갖는 피크(peak)들을 포함한다(그러나 이에 제한되지는 않음). 피처들은 임의의 적합한 종횡비(피처의 깊이 대 피처의 폭의 비율)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, 또는 40:1과 동일하거나 또는 그 초과이다.
[0018] 기판(100)은 기판 표면(120)을 갖는다. 적어도 하나의 피처(110)는 기판 표면(120)에 개구를 형성한다. 피처(110)는 기판 표면(120)으로부터 하단 표면(112)까지 깊이(D)로 연장된다. 피처(110)는 제1 측벽(114) 및 제2 측벽(116)을 가지며, 그 제1 측벽(114) 및 제2 측벽(116)은 피처(110)의 폭(W)을 정의한다. 측벽들과 하단에 의해 형성된 개방 영역은 또한, 갭이라고 지칭된다.
[0019] 본 개시내용의 하나 또는 그 초과의 실시예들은 적어도 하나의 피처를 상부에 갖는 기판 표면이 제공되는 프로세싱 방법들에 관한 것이다. 이에 대하여 사용되는 바와 같이, "제공된다"라는 용어는 기판이 추가적인 프로세싱을 위한 위치 또는 환경에 배치되는 것을 의미한다.
[0020] 도 2에 도시된 바와 같이, 유동성 막(150)이 기판 표면(120), 그리고 적어도 하나의 피처(110)의 제1 측벽(114), 제2 측벽(116) 및 하단 표면(112) 상에 형성된다. 유동성 막(150)은 실질적으로 심이 형성되지 않도록 적어도 하나의 피처(110)를 충전한다. 심은 피처(110)의 측벽들 사이에서(그러나, 반드시 피처(110)의 측벽들의 중간에 형성될 필요는 없음) 피처에 형성되는 갭이다. 이에 대하여 사용되는 바와 같이, "실질적으로 심이 없는"이라는 용어는 측벽들 사이에서 막에 형성된 임의의 갭이 측벽의 단면적의 약 1% 미만인 것을 의미한다.
[0021] 유동성 막(150)은 임의의 적합한 프로세스에 의해 형성될 수 있다. 일부 실시예들에서, 유동성 막의 형성은 플라즈마-강화 화학 기상 증착(PECVD)에 의해 이루어진다. 달리 말하면, 유동성 막은 플라즈마-강화 화학 기상 증착 프로세스에 의해 증착될 수 있다.
[0022] 본 개시내용의 실시예들은 유리하게, 유동성 CVD 막들의 증착에서 사용하기 위한 종류의 실리콘-함유 전구체들을 제공한다. 일부 실시예들은 유리하게, 심을 형성하지 않는 갭충전 방법들을 제공한다. 일부 실시예들은 유리하게, 트렌치 또는 표면 피처가 심리스(seamless) 방식으로 충전되는, 유동성 CVD 막을 경화시키기 위한 방법들을 제공한다.
[0023] 본 개시내용의 실시예들은, 유동성 막을 증착하기 위해 실리콘-함유 전구체 및 공-반응물에 기판 표면을 노출시키는 것을 포함하는 프로세싱 방법들에 관한 것이다. 실리콘-함유 전구체는 라디칼 중합 반응에 대한 참여를 가능하게 하기 위해 적어도 하나의 알케닐 또는 알키닐 기를 갖는다. 구조들 I 내지 V는 본 개시내용의 다양한 실시예들과 함께 사용하기 위한 실리콘-함유 전구체들의 예들을 제공한다.
Figure 112019007941522-pct00002
화학식 (I)의 R 기들(R1 내지 R6)은 각각, CR'CR''2, CCR', H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 삼차-부틸, NR'2, 및 OR'로 구성된 그룹으로부터 독립적으로 선택된다. R' 및 R'' 기들은, H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 및 삼차-부틸로 구성된 그룹으로부터 독립적으로 선택된다. R1 내지 R6(화학식 I의 경우 R1 내지 R4) 중 적어도 하나는, CR'CR''2 또는 CCR'로 구성된 그룹으로부터 선택된다. "R 기들"이라는 용어의 사용이 R1 내지 R6(화학식 I의 경우 R1 내지 R4) 중 임의의 것을 지칭한다는 것을 당업자는 이해할 것이다. 일부 실시예들에서, R 기들 중 적어도 하나는 수소가 아니다. 일부 실시예들에서, R 기들 중 적어도 하나는 메틸 기이다. 일부 실시예들에서, R 기들 중 적어도 하나는 에틸 기이다. 일부 실시예들에서, 적어도 하나의 R 기는 비닐 기이다. 일부 실시예들에서, R 기들 각각은 동일한 기이다.
[0024] 하나 또는 그 초과의 실시예들에서, 실리콘 전구체는 알케닐 기를 포함하고, 그에 따라, 실리콘-함유 전구체의 R 기들 중 하나 또는 그 초과는 CR'CR''2를 포함한다. 일부 실시예들에서, 실리콘-함유 전구체의 모든 R 기들은 CR'CR''2를 포함한다. 하나 또는 그 초과의 실시예들에서, 실리콘 전구체의 실질적으로 모든 R 기들은 CR'CR''2를 포함한다. 이에 대하여 사용되는 바와 같이, "실질적으로 모든"은 R 기들의 약 95% 또는 그 초과가 특정 기인 것을 의미한다. 일부 실시예들에서, 각각의 R'는 동일한 치환기(substituent)이며, 각각의 R''는 동일한 치환기이다. 하나 또는 그 초과의 실시예들에서, 실리콘-함유 전구체는 테트라비닐실란(Si(CHCH2)4)을 포함한다.
[0025] 하나 또는 그 초과의 실시예들에서, 실리콘-함유 전구체는 알키닐 기를 포함하고, 그에 따라, 실리콘-함유 전구체의 R 기들 중 하나 또는 그 초과는 CCR'를 포함한다. 일부 실시예들에서, 실리콘-함유 전구체의 모든 R 기들은 CCR'를 포함한다. 하나 또는 그 초과의 실시예들에서, 실리콘 전구체의 실질적으로 모든 R 기들은 CCR'를 포함한다. 이에 대하여 사용되는 바와 같이, "실질적으로 모든"은 R 기들의 약 95% 또는 그 초과가 특정 기인 것을 의미한다. 일부 실시예들에서, 각각의 R'는 동일한 치환기이며, 각각의 R''는 동일한 치환기이다. 하나 또는 그 초과의 실시예들에서, 실리콘-함유 전구체는 실리콘 테트라아세틸라이드(Si(CCH)4)를 포함한다.
[0026] 구조들 I 내지 V 중 임의의 것을 갖는 Si-함유 전구체가 CVD 챔버로 기화될 수 있고, 적합한 공-반응물(예컨대, NH3/O2/CO2/CO/Ar/He/H2, 또는 이들의 조합)이 예컨대 RPS(remote plasma source)를 통해 챔버로 전달될 수 있으며, 이는 공-반응물들로서 플라즈마 활성 종을 생성할 것이다. 플라즈마 활성화 공-반응물 분자들(라디칼들)은 높은 에너지들을 가지며, 가스 상에서 Si-함유 전구체 분자들과 반응하여, 대응 유동성 중합체들을 형성할 수 있다. 일부 실시예들에서, 플라즈마는 NH3, O2, CO2, CO, Ar, He, 또는 H2 중 하나 또는 그 초과를 포함하는 플라즈마 가스로 생성된다. 일부 실시예들에서, 플라즈마 가스는 암모니아를 포함하거나, 또는 본질적으로 암모니아로 구성된다. 이에 대하여 사용되는 바와 같이, "본질적으로 ~로 구성된다"라는 용어는 플라즈마 내의 반응성 종의 90 분자% 또는 그 초과가 암모니아인 것을 의미한다.
[0027] 플라즈마는 프로세싱 챔버 내에서 생성 또는 점화될 수 있거나(예컨대, 직접 플라즈마), 또는 프로세싱 챔버 외부에서 생성되어 프로세싱 챔버 내로 유동될 수 있다(예컨대, 원격 플라즈마).
[0028] 유동성 막(150)은 임의의 적합한 온도로 형성될 수 있다. 일부 실시예들에서, 유동성 막(150)은 약 -20 ℃ 내지 약 100 ℃의 범위 내의 온도로 형성된다. 온도는 형성되는 디바이스의 서멀 버짓(thermal budget)을 보존하도록 낮게 유지될 수 있다. 일부 실시예들에서, 유동성 막의 형성은, 약 300 ℃, 250 ℃, 200 ℃, 150 ℃, 100 ℃, 75 ℃, 50 ℃, 25 ℃, 또는 0 ℃ 미만의 온도로 발생된다.
[0029] 높은 탄소 함유량을 갖는 막들은 다수의 애플리케이션들에 적용될 수 있는데, 예컨대 유동성 저 K 막들을 위해 그리고 하드 마스크들의 패터닝에 적용될 수 있다. 일부 실시예들에서, 구조들 I 내지 V 중 임의의 것의 전구체들을 사용한 유동성 막은 높은 탄소 함유량을 갖는 막들을 증착할 수 있다. 일부 실시예들에서, 막은 최대 약 85 원자%의 탄소 함유량을 갖는다. 하나 또는 그 초과의 실시예들에서, 유동성 막은, 약 40, 45, 50, 55, 60, 65, 70, 75 또는 80 원자% 초과 및 약 95, 90 또는 85 원자% 미만의 탄소 함유량을 갖는다. 일부 실시예들에서, 유동성 막은, 약 40 내지 약 85 원자%의 범위 내, 또는 약 50 내지 약 85 원자%의 범위 내, 또는 약 60 내지 약 80 원자%의 범위 내의 탄소 함유량을 갖는다.
[0030] 유동성 막의 조성은 반응성 가스의 조성을 변화시킴으로써 조정될 수 있다. 일부 실시예들에서, 유동성 막은, SiC, SiCO, SiCN, SiCON, SiO, 및 SiN 중 하나 또는 그 초과를 포함한다. 산소 함유 막을 형성하기 위해, 공-반응물은, 예컨대, 산소, 오존, 또는 물 중 하나 또는 그 초과를 포함할 수 있다. 질소 함유 막을 형성하기 위해, 공-반응물은, 예컨대, 암모니아, 히드라진, NO2, 또는 N2 중 하나 또는 그 초과를 포함할 수 있다. 탄소 함유 막을 형성하기 위해, 반응성 가스는, 예컨대, 프로필렌 및 아세틸렌 중 하나 또는 그 초과를 포함할 수 있다. 유동성 막의 조성을 변화시키기 위해, 종의 조합들 또는 다른 종이 반응성 가스 혼합물에 포함될 수 있다는 것을 당업자는 이해할 것이다.
[0031] 유동성 막은 웨이퍼 상에 증착될 수 있고(웨이퍼의 온도는 -10 ℃ 내지 200 ℃일 수 있음), 이들의 유동성으로 인해, 중합체들이 트렌치들을 통해 유동하여 갭-충전을 행할 것이다. 이어서, 안정적인 막들을 얻기 위해, 이들 막들은 경화 단계들, 이를테면 오존/UV/스팀 어닐링/NH3 어닐링을 받는다. 일부 실시예들에서, 방법은 SiC, SiCO, SiCN, SiCON, SiO, 및/또는 SiN 유동성 막들 중 하나 또는 그 초과를 제공한다. 따라서, 유동성 막(150)의 형성 후, 막은 경화되어 유동성 막이 응고될 수 있고, 실질적인 심-프리 갭충전이 형성될 수 있다. 하나 또는 그 초과의 실시예들에서, 유동성 막을 경화시키는 것은, 오존, UV 광, 스팀 어닐링, 암모니아 어닐링, 및 산소 플라즈마 중 하나 또는 그 초과에 유동성 막을 노출시키는 것을 포함한다. 일부 실시예들에서, 유동성 막은 UV 경화 프로세스에 막을 노출시킴으로써 경화된다. UV 경화 프로세스는 약 10 ℃ 내지 약 550 ℃의 범위 내의 온도로 발생될 수 있다. UV 경화 프로세스는 유동성 막을 충분히 응고시키는 데 필요한 임의의 적합한 시간 프레임 동안 발생될 수 있다. UV 경화는 상이한 파라미터들, 예컨대 전력, 온도, 환경으로 수행될 수 있다. 일부 실시예들에서, UV 경화는 아세틸렌/에틸렌 환경에서 발생된다.
[0032] 일부 실시예들에서, 유동성 막의 경화는 열 어닐링을 포함한다. 열 어닐링은 임의의 적합한 온도로 그리고 임의의 적합한 환경에서 발생될 수 있다. 일부 실시예들에서, 유동성 막은 아세틸렌/에틸렌 환경에서 열 어닐링에 의해 경화된다.
[0033] 일부 실시예들에서, 유동성 막의 경화는 플라즈마 또는 전자 빔에 대한 노출을 포함한다. 막을 경화시키기 위한 플라즈마 노출은 PECVD 플라즈마와 별개인 플라즈마를 포함한다. 플라즈마 종 및 프로세싱 챔버는 동일할 수 있으며, 플라즈마 경화는 PECVD 프로세스와 상이한 단계이다.
[0034] 일부 실시예들에서, 유동성 막을 경화시키는 것은 스팀 어닐링 및/또는 산소 플라즈마에 유동성 막을 노출시키는 것을 포함한다. 스팀 어닐링 및/또는 산소 플라즈마의 사용은 유동성 막의 탄소 함유량을 감소시킬 수 있고, 그에 따라, 경화된 막은 증착 직후의 유동성 막보다 더 낮은 탄소 함유량을 갖는다. 스팀 어닐링 및/또는 산소 플라즈마의 사용은 증착된 유동성 SiC, SiCN, 또는 SiCO 막을 SiO로 변환시킬 수 있다. 그러한 막들의 탄소 함유량은 실질적으로 제거되었으며; 이는 경화-전 막에 존재한 탄소의 약 5% 미만이 존재하는 것을 의미한다.
[0035] 일부 실시예들에서, 다양한 조성들의 막들을 증착하기 위해, 유동성 프로세스에서, 구조들 I 내지 V 중 임의의 것의 전구체는 다른 전구체와 함께 사용될 수 있다(다른 Si-함유 전구체와 공동-유동됨). 예로서, 막에 탄소를 혼입시키기 위해, 구조들 I 내지 V의 전구체들이 트리실릴아민(TSA)/NH3 프로세스와 함께 사용될 수 있다. TSA/NH3 프로세스로부터 획득되는 유동성 막들은 SiO 또는 SiN 막들이다. 구조들 I 내지 V의 실리콘-함유 전구체의 부가에 의해, SiCO, SICON, 또는 SiCN 막들이 증착될 수 있다. 다른 예에서, 실리콘-함유 전구체는 옥타메틸시클로테트라실록산(OMCTS)/O2 프로세스와 함께 사용될 수 있다. OMCTS/O2 프로세스는 SiOC 막들을 제공하며, 구조들 I 내지 V의 전구체들은 막 내의 C%를 증가시키기 위해 사용될 수 있다. 이 방법에 의해, SiC, SiOC, SiCN, SiOCN, SiO, 및 SiN 유동성 막들이 획득될 수 있다. 추가적인 예에서, SiCO, SiCON, 또는 SiN 막을 증착하기 위해, TSA/실란들(SixHy)/OMCTS가 구조들 I 내지 V의 전구체와 함께 혼합 또는 공동-유동될 수 있다.
[0036] 일부 실시예들에서, 실리콘 막 내에 탄소를 도핑하기 위해, 구조들 I 내지 V의 실리콘 전구체가 다른 프로세스에 부가된다. 예컨대, 실리콘 증착 프로세스는, 막 내에 탄소 원자들을 혼입시키기 위해 프로세스 내에 화학식 I 내지 V로부터의 전구체들 중 일부가 투여되게 할 수 있다. 본 개시내용의 일부 실시예들은 유동성 막을 형성하기 위해, 실릴아민과 함께 탄소 함유 실란을 공동-유동시킴으로써, 막 내에 탄소를 도핑하는 방법들에 관한 것이다. 하나 또는 그 초과의 실시예들에서, 원자 기준으로 약 50%, 60%, 70%, 75%, 또는 80% 또는 그 초과의 탄소 함유량을 갖는 막을 형성하기 위해, 트리실릴아민 및 암모니아 플라즈마와 함께 테트라비닐실란이 공동-유동된다.
[0037] 일부 실시예들에서, 유동성 막은 다른 원소로 도핑된다. 예컨대, 일부 유동성 막들은, B, As, 또는 P 중 하나 또는 그 초과로 도핑될 수 있다. 유동성 막들은, 막 특성들을 개선하기 위해, 붕소(B) 및 인(P)과 같은 원소들로 도핑될 수 있다. 붕소 및 인을 함유하는 전구체들은 증착 프로세스 동안 Si-함유 전구체들과 함께 공동-유동될 수 있거나, 또는 증착이 이루어진 후 침투(infiltrate)될 수 있다. 붕소 함유 전구체들은 아미노보란/보란 화합물들일 수 있으며, 인 함유 전구체들은 인산염/아인산염 화합물들일 수 있다. 일부 실시예들에서, 유동성 막을 도핑하는 것은 실리콘-함유 전구체와 함께 도펀트 전구체를 공동-유동시키는 것을 포함한다. 일부 실시예들에서, 유동성 막을 도핑하는 것은 별개의 프로세스에서 도펀트 엘리먼트를 주입하는 것을 포함한다.
[0038] 본 개시내용의 일부 실시예들은, 탄화수소들 및 실란으로부터 SiC, SiCO, SiCON, SiCN, SiN, SiO 유동성 막들을 획득하는 방법들에 관한 것이다. 적합한 탄화수소들은 구조들 VI 내지 XV로서 나타낸 것들을 포함한다(그러나, 이에 제한되지는 않음).
Figure 112019007941522-pct00003
일부 실시예들에서, 실란 전구체는 탄소 원자들을 갖지 않는 화합물을 포함한다. 구조들 VI 내지 XV로서 열거된 화합물들이 단지 일부 가능한 실란 전구체들을 나타내는 것일 뿐이라는 것을 당업자는 이해할 것이다. 예시된 구조들은 실란 치환기들 또는 다른 원자들을 포함하도록 변형될 수 있다.
[0039] 구조들 I 내지 V의 적어도 하나의 전구체 및 임의의 탄화수소(CxHy)로부터의 적어도 하나의 전구체가 임의의 조합으로 사용될 수 있다. 탄화수소(CxHy) 및 구조들 I 내지 V의 실란 전구체(들)이 CVD 챔버로 기화될 수 있고, 공-반응물들(예컨대, NH3/O2/CO2/CO/Ar/He/H2, 또는 이들의 조합들)이 RPS(remote plasma source)를 통해 챔버로 전달될 수 있으며, 이는 공-반응물들로서 플라즈마 활성 종을 생성할 것이다. 플라즈마 활성화 공-반응물 분자들(라디칼들)은 높은 에너지들을 가지며, 가스 상에서 Si-함유 전구체 분자들과 반응하여, 대응 유동성 중합체들을 형성한다. 이들 중합체들은 웨이퍼 상에 증착될 것이고(웨이퍼의 온도는 -10 ℃ 내지 300 ℃일 수 있음), 이들의 유동성으로 인해, 중합체들이 트렌치들을 통해 유동하여 갭-충전을 행할 것이다. 이어서, 안정적인 SiC, SiCO, SiCON, SiCN, SiN, SiO 막들을 얻기 위해, 이들 막들은 경화 단계들, 이를테면 오존/UV/스팀 어닐링/NH3 어닐링을 받는다.
[0040] 예 1 - 테트라비닐실란(Si(CHCH2)4, TVS라고 지칭됨) 및 NH3 플라즈마로부터의 유동성 SiCON 막의 증착
[0041] 유동성 막들은, 약 1 토르 미만의 압력으로, 약 80 ℃, 100 ℃ 및 130 ℃의 범위 내의 온도들에서, 트라비닐실란(TVS) 및 원격 NH3 플라즈마의 CVD 증착에 의해 획득되었다. 증착 직후의 막은 1.58의 굴절률을 가졌고, 100:1 희석 HF에서 1.8 A/분의 습식 에칭 레이트를 가졌다. 유동성 막의 SEM 이미지가 도 3에 도시된다.
[0042] 예 2 - 실리콘테트라아세틸라이드(Si(CCH)4 및 NH3 플라즈마로부터의 유동성 SiCON 막의 증착
[0043] 유동성 막들은, 약 1 토르 미만의 압력들로, 약 0 ℃ 내지 약 80 ℃, 100 ℃ 및 130 ℃의 범위 내의 온도들에서, 실리콘테트라아세틸라이드 및 원격 NH3 플라즈마로부터 획득되었다. 증착 직후의 막은 약 1.58의 굴절률을 가졌다.
[0044] 예 3 - TSA와 함께 TVS를 공동-유동시키는 것에 의한 TSA/NH3 프로세스에서의 C% 증가
[0045] 유동성 막들은 0 ℃에서 TVS/TVA 및 NH3 플라즈마를 공동-유동시킴으로써 획득되었다. TSA/NH3로부터 획득된 유동성 막들은 막 내에 탄소를 거의 갖지 않은 한편, TVS 및 TSA를 공동-유동시킴으로써 획득된 유동성 막은 최대 80%의 탄소 함유량을 가졌다.
[0046] 하나 또는 그 초과의 실시예들에 따르면, 기판은 층을 형성하기 전에 그리고/또는 층을 형성한 후에 프로세싱을 받는다. 이러한 프로세싱은 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가적인 프로세싱을 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은 제1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동된 후에 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등이라고 지칭될 수 있다.
[0047] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 프로세싱 챔버들과 로드 락 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전단부에 위치된 로드 락 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이며, 이들 양자 모두는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본원에서 설명되는 바와 같은 프로세스의 특정한 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, 열 처리, 이를테면 RTP, 플라즈마 질화, 탈기, 배향, 수산화, 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지는 않음). 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전의 산화 없이도, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[0048] 하나 또는 그 초과의 실시예들에 따르면, 기판은 계속 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 아래로 "펌프 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 비활성 가스는 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0049] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 다른 기판이 프로세싱되기 전에 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 컨베이어 시스템과 유사하게 연속적인 방식으로 프로세싱될 수 있고, 여기서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직진 경로 또는 휘어진 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고, 캐러셀 경로의 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[0050] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지는 않는) 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되고 있는 가스들(반응성 가스들 또는 비활성 가스들)은 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류성으로 변화시키기 위해, 챔버 내에서 기판 표면 근처에 가열기/냉각기가 위치된다.
[0051] 기판은 또한, 프로세싱 동안에 고정될 수 있거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 회전될 수 있다. 예컨대, 기판이 전체 프로세스의 전체에 걸쳐 회전될 수 있거나, 또는 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 기판이 소량 회전될 수 있다. (연속적으로 또는 스텝들로) 프로세싱 동안에 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 발생시키는 것을 도울 수 있다.
[0052] 본 명세서의 전체에 걸친 "일 실시예", "특정한 실시예들", "하나 또는 그 초과의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 개소들에서의 "하나 또는 그 초과의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0053] 여기에서 본 발명이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 발명의 적용들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 발명의 사상 및 범위로부터 벗어나지 않으면서, 본 발명의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 발명이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 하기 단계를 포함하는 프로세싱 방법:
    -20 ℃ 내지 100 ℃의 범위 내의 온도에서 실리콘-함유 전구체 및 공-반응물(co-reactant)에 기판 표면을 노출시켜 유동성 막을 증착하는 단계; 및
    유동성 막을 경화시켜 경화된 막을 형성하는 단계,
    실리콘-함유 전구체는 하기 구조 IV 또는 V를 갖는 화합물을 포함하며:
    Figure 112020110878719-pct00008

    상기 식에서, R1 내지 R6 각각은, CR'CR''2, CCR', H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 삼차-부틸, NR'2, 및 OR'로 구성된 그룹으로부터 독립적으로 선택되고, R' 및 R''는, H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 및 삼차-부틸로 구성된 그룹으로부터 독립적으로 선택된다.
  2. 제1 항에 있어서, 공-반응물은 플라즈마 가스를 포함하는 플라즈마를 포함하는, 프로세싱 방법.
  3. 제1 항에 있어서, R1 내지 R6 중 적어도 하나는, CR'CR''2 또는 CCR'로 구성된 그룹으로부터 선택되는, 프로세싱 방법.
  4. 제3 항에 있어서, 실리콘-함유 전구체의 R1 내지 R6 중 하나 또는 그 초과는 CR'CR''2인, 프로세싱 방법.
  5. 제4 항에 있어서, 실리콘-함유 전구체의 R1 내지 R6 모두는 CR'CR''2를 포함하는, 프로세싱 방법.
  6. 제3 항에 있어서, 실리콘-함유 전구체의 R1 내지 R6 중 하나 또는 그 초과는 CCR'인, 프로세싱 방법.
  7. 제6 항에 있어서, 실리콘-함유 전구체의 R1 내지 R6 모두는 CCR'를 포함하는, 프로세싱 방법.
  8. 제5 항 또는 제7 항에 있어서, 각각의 R'는 동일하며, 각각의 R''는 동일한 치환기(substituent)인, 프로세싱 방법.
  9. 제2 항에 있어서, 플라즈마 가스는, NH3, O2, CO2, CO, Ar, He, 또는 H2 중 하나 또는 그 초과를 포함하는, 프로세싱 방법.
  10. 제9 항에 있어서, 플라즈마 가스는 암모니아를 포함하는, 프로세싱 방법.
  11. 제1 항에 있어서, 유동성 막을 경화시키는 단계는, 오존, UV 광, 스팀 어닐링, 암모니아 어닐링, 및 산소 플라즈마 중 하나 또는 그 초과에 유동성 막을 노출시키는 단계를 포함하는, 프로세싱 방법.
  12. 제2 항에 있어서, B, As, 또는 P 중 하나 또는 그 초과로 유동성 막을 도핑하는 단계를 더 포함하는, 프로세싱 방법.
  13. 제1 항 내지 제7 항 또는 제9 항 내지 제12 항 중 어느 한 항에 있어서, 실리콘-함유 전구체는 실란 전구체와 함께 공동-유동되는, 프로세싱 방법.
  14. 하기 단계를 포함하는 프로세싱 방법:
    적어도 하나의 피처(feature)를 상부에 갖는 기판 표면을 실리콘-함유 전구체 및 공-반응물에 노출시켜 기판 표면 및 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 하단 표면 상에 유동성 막을 형성하는 단계; 및
    유동성 막을 경화시켜 막을 응고시키고 실질적인 심-프리(seam-free) 갭충전을 형성하는 단계,
    적어도 하나의 피처는 기판 표면으로부터 하단 표면까지의 깊이로 연장되고, 적어도 하나의 피처는 제1 측벽 및 제2 측벽에 의해 정의된 폭을 갖고, 유동성 막은 실질적으로 심(seam)이 형성되지 않게 피처를 충전하고, 실리콘-함유 전구체는 하기 구조 IV 또는 V를 갖는 화합물을 포함하며:
    Figure 112020110878719-pct00009

    상기 식에서, R1 내지 R6 각각은, CR'CR''2, CCR', H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 삼차-부틸, NR'2, 및 OR'로 구성된 그룹으로부터 독립적으로 선택되고, R' 및 R''는, H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 및 삼차-부틸로 구성된 그룹으로부터 독립적으로 선택되고, R1 내지 R6 중 적어도 하나는, CR'CR''2 또는 CCR'로 구성된 그룹으로부터 선택되고, 공-반응물은 암모니아 플라즈마를 포함한다.
  15. 하기 단계를 포함하는 프로세싱 방법:
    -20 ℃ 내지 100 ℃의 범위 내의 온도에서 적어도 하나의 피처를 상부에 갖는 기판 표면을 실리콘-함유 전구체 및 공-반응물에 노출시켜 유동성 막을 형성하는 단계; 및
    유동성 막을 경화시켜 막을 응고시키고 실질적인 심-프리(seam-free) 갭충전을 형성하는 단계,
    적어도 하나의 피처는 기판 표면으로부터 하단 표면까지의 깊이로 연장되고, 적어도 하나의 피처는 제1 측벽 및 제2 측벽에 의해 정의된 폭을 갖고, 유동성 막은 기판 표면 및 적어도 하나의 피처의 제1 측벽, 제2 측벽 및 하단 표면 상에 형성되며, 유동성 막은 실질적으로 심이 형성되지 않게 피처를 충전하고, 실리콘-함유 전구체는 하기 구조 IV 또는 V를 갖는 화합물을 포함하며:
    Figure 112020110878719-pct00010

    상기 식에서, R1 내지 R6 각각은, CR'CR''2, CCR', H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 삼차-부틸, NR'2, 및 OR'로 구성된 그룹으로부터 독립적으로 선택되고, R' 및 R''는, H, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이차-부틸, 이소부틸, 및 삼차-부틸로 구성된 그룹으로부터 독립적으로 선택되고, R1 내지 R6 중 적어도 하나는, CR'CR''2 또는 CCR'로 구성된 그룹으로부터 선택되고, 공-반응물은 암모니아 플라즈마를 포함한다.
KR1020197002162A 2016-07-19 2017-07-19 유동성 실리콘-함유 막들의 증착 KR102259262B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662364273P 2016-07-19 2016-07-19
US62/364,273 2016-07-19
PCT/US2017/042811 WO2018017684A1 (en) 2016-07-19 2017-07-19 Deposition of flowable silicon-containing films

Publications (2)

Publication Number Publication Date
KR20190010736A KR20190010736A (ko) 2019-01-30
KR102259262B1 true KR102259262B1 (ko) 2021-05-31

Family

ID=60990130

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197002162A KR102259262B1 (ko) 2016-07-19 2017-07-19 유동성 실리콘-함유 막들의 증착

Country Status (4)

Country Link
US (1) US11515149B2 (ko)
KR (1) KR102259262B1 (ko)
CN (1) CN109477214A (ko)
WO (1) WO2018017684A1 (ko)

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10361113B2 (en) * 2017-06-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ treatment processes for gap fill layers
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
KR102555932B1 (ko) * 2018-06-15 2023-07-13 버슘머트리얼즈 유에스, 엘엘씨 실록산 조성물 및 이 조성물을 실리콘 함유 필름을 증착시키기 위해 사용하는 방법
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11713328B2 (en) * 2018-08-23 2023-08-01 Versum Materials Us, Llc Stable alkenyl or alkynyl-containing organosilicon precursor compositions
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
US11069680B2 (en) 2019-03-28 2021-07-20 International Business Machines Corporation FinFET-based integrated circuits with reduced parasitic capacitance
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020173172A1 (en) * 2001-03-23 2002-11-21 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films having low dielectric constant

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US7390537B1 (en) * 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
KR100820966B1 (ko) * 2006-12-07 2008-04-11 엘지전자 주식회사 온도 검출에 따른 카메라 동작 제어장치 및 방법
US7892964B2 (en) 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US8455049B2 (en) 2007-08-08 2013-06-04 Advanced Technology Materials, Inc. Strontium precursor for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
TW200951241A (en) 2008-05-30 2009-12-16 Sigma Aldrich Co Methods of forming ruthenium-containing films by atomic layer deposition
CN102361011B (zh) 2008-06-11 2016-06-22 美格纳半导体有限会社 形成半导体器件的栅极的方法
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US9847222B2 (en) * 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10113234B2 (en) 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
JP2016204487A (ja) * 2015-04-20 2016-12-08 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 被膜形成用組成物およびそれを用いた被膜形成方法
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020173172A1 (en) * 2001-03-23 2002-11-21 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films having low dielectric constant

Also Published As

Publication number Publication date
WO2018017684A1 (en) 2018-01-25
US20180025907A1 (en) 2018-01-25
KR20190010736A (ko) 2019-01-30
CN109477214A (zh) 2019-03-15
US11515149B2 (en) 2022-11-29

Similar Documents

Publication Publication Date Title
KR102259262B1 (ko) 유동성 실리콘-함유 막들의 증착
KR102271768B1 (ko) 반응성 어닐링을 사용하는 갭충전
JP6777754B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
US9984868B2 (en) PEALD of films comprising silicon nitride
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
KR102269470B1 (ko) 실리콘 갭충전을 위한 2-단계 프로세스
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
KR102549427B1 (ko) 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
KR20210109046A (ko) 질화규소를 증착하는 방법들
KR102650586B1 (ko) 유동성 pecvd를 위한 낮은 증착 레이트들
US11367614B2 (en) Surface roughness for flowable CVD film
US20220375747A1 (en) Flowable CVD Film Defect Reduction
JP7485732B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant