JP6777754B2 - ケイ素含有膜の堆積のための組成物及びそれを使用した方法 - Google Patents

ケイ素含有膜の堆積のための組成物及びそれを使用した方法 Download PDF

Info

Publication number
JP6777754B2
JP6777754B2 JP2018544903A JP2018544903A JP6777754B2 JP 6777754 B2 JP6777754 B2 JP 6777754B2 JP 2018544903 A JP2018544903 A JP 2018544903A JP 2018544903 A JP2018544903 A JP 2018544903A JP 6777754 B2 JP6777754 B2 JP 6777754B2
Authority
JP
Japan
Prior art keywords
plasma
compound
branched
formula
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018544903A
Other languages
English (en)
Other versions
JP2019507956A (ja
Inventor
リー チエンホン
リー チエンホン
レイ シンチエン
レイ シンチエン
レイモンド ニコラス バーティス
ニコラス バーティス レイモンド
ゴードン リッジウェイ ロバート
ゴードン リッジウェイ ロバート
シナトーア ディーノ
シナトーア ディーノ
シアオ マンチャオ
シアオ マンチャオ
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2019507956A publication Critical patent/JP2019507956A/ja
Application granted granted Critical
Publication of JP6777754B2 publication Critical patent/JP6777754B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Prostheses (AREA)
  • Silicon Polymers (AREA)
  • Silicon Compounds (AREA)

Description

本願は、2016年2月26日に出願された出願第62/300,312号の利益を主張する。出願第62/300,312号の開示は、参照することにより、本明細書に組み込まれる。
本明細書で説明されるのは、電子デバイスの製作のためのプロセスである。より具体的には、本明細書で説明されるのは、堆積プロセス、例えば、限定されないが、流動性化学気相堆積で、ケイ素含有膜を形成するための組成物である。本明細書で説明される組成物及び方法を使用して堆積することができる例示のケイ素含有膜としては、限定されないが、酸化ケイ素、窒化ケイ素、炭化ケイ素、酸窒化ケイ素、炭素ドープ酸化ケイ素、又は炭素ドープ窒化ケイ素の膜が挙げられる。
米国特許出願第2013/0217241号明細書では、Si−C−N含有流動層の堆積及び処理を開示している。Si及びCは、Si−C含有前駆体由来であることができ、一方、NはN含有前駆体由来であることができる。初期のSi−C−N含有流動層は、流動性を可能とする成分を除去するために処理される。これらの成分の除去により、エッチ耐性を増加させ、収縮を低減し、膜張力及び電気特性を調整することができる。後処理は、熱アニール、UV照射、又は高密度プラズマであることができる。
米国特許第8889566号明細書では、局所プラズマでケイ素前駆体を励起させ、第2のプラズマで堆積することで、流動性膜を堆積するための方法を開示する。ケイ素前駆体は、シリルアミン、高次シラン又はハロゲン化シランであることができる。第2の反応ガスは、NH3、N2、H2、及び/又はO2であることができる。
米国特許第7825040号明細書では、アルコキシシラン又はアミノシラン前駆体を導入して、プラズマ反応により流動性Si含有膜を堆積することで、ギャップを充填する方法を開示している。その前駆体は、Si−C結合又はC−C結合を含んでいない。
米国特許第8889566号、同第7521378号、及び同第8575040号の各明細書では、流動性化学気相堆積プロセスを使用して酸化ケイ素膜を堆積するためのアプローチが気相重合であることを記載している。トリシリルアミン(TSA)のような化合物を使用して、Si、H及びN含有オリゴマーを堆積し、その後、オゾン照射を使用してSiOx膜に酸化させている。
米国特許第8846536号明細書では、流動性誘電体膜を堆積及び改質する方法を開示している。1つ又は複数の統合プロセスにより、流動性誘電体膜のウェットエッチ速度を少なくとも10倍変化させることができる。
上で特定した特許及び特許出願の開示は、参照することにより本明細書に組み込まれる。
流動性化学気相堆積及び他の膜堆積プロセスに関する当技術分野の最近の活動にもかかわらず、課題が未だ残っている。これらの課題の1つは、膜応力及びボイド形成(voiding)に関する。流動性膜は主により低い温度で堆積され、高温及び高エネルギーの後処理により、特徴部において高い膜応力を引き起こし、ボイドが形成される。低プロセス温度では、低い膜品質が原因で、ウェットエッチ速度が下がることが問題であった。したがって、代替の前駆体化合物、前駆体組み合わせ、若しくは修正した技術、又はそれらの組み合わせを提供するニーズが存在している。
本明細書で説明される組成物又は配合物、及びそれを使用した方法により、基材表面の少なくとも一部にケイ素含有膜を堆積して、後堆積処理の際に望ましい膜特性を提供することで、従来技術の課題を解決する。本発明に係る組成物及び方法により、以下の特性:i)Toho応力ツールを使用して測定した場合に、熱硬化の後では約10〜約20MPaの範囲の膜引張応力及びUV硬化の後では約150〜約190MPaの範囲の膜引張応力、並びにX線反射により測定した場合に約1.35〜約2.10g/cm3の範囲の密度を有するケイ素含有膜を提供することができる。
ケイ素含有膜は、窒化ケイ素、炭化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、酸窒化ケイ素、及び炭素ドープ酸窒化ケイ素の膜からなる群より選択される。幾つかの実施形態において、基材は表面特徴を含む。本明細書で使用される場合、「表面特徴」という用語は、基材又は部分製作された基材が、以下の孔、トレンチ、シャロートレンチアイソレーション(STI)、ビア、リエントラント特徴などのうち1つ又は複数を含むことを意味する。組成物は、事前混合された組成物、事前混合物(堆積プロセスで使用される前に混合されるもの)、又はその場混合物(堆積プロセス中に混合されるもの)であることができる。したがって、本明細書において、「混合物」、「配合物」及び「組成物」という用語は交換可能である。
1つの態様において、ケイ素含有膜を堆積するための方法であって、
−20〜約400℃の範囲の1つ又は複数の温度である反応器中に、表面特徴を含む基材を設置する工程と、
少なくとも1つの炭素−炭素二重結合又は炭素−炭素三重結合を有する第1の化合物を反応器中に導入する工程であって、少なくとも1つの第1の化合物が、式RnSiR1 4-nを有し、式中、Rが、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基から選択され、R1が、水素及び分枝状C1〜C10アルキル基から選択され、nが0、1、2、3から選択される数である、工程と、
少なくとも1つのSi−H結合を有する第2の化合物を反応器中に導入する工程であって、少なくとも1つの第2の化合物が、以下の式IIA〜IIH及びIIIA:
IIA.式Six2X+2であって、式中、xが2〜6の数である式を有するポリシラン化合物;
IIB.式R1 mSiH4-mであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
IIC.式SiH3−R2−SiH3であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基である式を有する化合物;
IID.式R3SiH2−R2−SiH24であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基であり、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基、及びC4〜C10アリール基から選択され、R4が直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIE.式(R34N)nSiH3-n1であって、式中、R1が、水素及び分枝状C1〜C10アルキル基から選択され、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIF.シラシクロアルキル化合物;
IIG.トリシリルアミン化合物又はその誘導体;
IIH.式[(R34N)pSiH3-p2NR1又は[R3 pSiH2-pNR1qであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
IIIA.式(R34N)SiH2SiH3であって、式中、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、幾つかの実施形態では、R3及びR4は結合して環を形成することができ、他の実施形態では、R3及びR4が結合せずに環を形成しない式を有する有機アミノジシランからなる群より選択される工程と、
プラズマ源を反応器中に提供して、第1の化合物と第2の化合物とが少なくとも部分的に反応して、流動性液体又はオリゴマーを形成する工程であって、流動性液体又はオリゴマーが、表面特徴の一部を少なくとも部分的に充填する工程と
を含む方法が提供される。
1つの特定の実施形態において、プラズマ源は、限定されないが、窒素プラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素及びヘリウムを含むプラズマ、水素及びアルゴンを含むプラズマ、アンモニア及び水素を含むプラズマ、有機アミンプラズマ、酸素を含むプラズマ、酸素及び水素を含むプラズマ、並びにそれらの混合物から成る群より選択される。
別の実施形態において、プラズマ源は、限定されないが、炭素源プラズマ、例えば、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、水素及び酸素源を含むプラズマ、並びにそれらの混合物からなる群より選択される。
上述の又は代替の実施形態のいずれかにおいて、流動性液体又はオリゴマーは、約100〜約1000℃の範囲の1つ又は複数の温度で処理されて、材料の少なくとも一部を高密度化する。
幾つかの実施形態において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム、又はUV光にさらされて、高密度膜が形成される。
上記の工程は、本明細書で説明される方法についての1サイクルを規定し、そのサイクルは、所望の厚さのケイ素含有膜が得られるまで繰り返すことができる。この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部の間に)行うことができ、及びそれらの組み合わせであることができることが理解される。化合物及び他の反応剤を供給するそれぞれの工程は、得られるケイ素含有膜の化学量論的組成を変えるために、それらを供給する時間を変えることで行うことができる。
本発明の1つの実施形態は、少なくとも2つの化合物を含むケイ素含有膜を形成するための化学前駆体であって、第1の化合物が、少なくとも1つの炭素−炭素二重結合又はC−C三重結合を有する化合物からなる群より選択される少なくとも1つの要素を含み、化合物が、式RnSiR1 4-nであって、式中、Rが、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基から選択され、R1が、水素及び分枝状C1〜C10アルキル基から選択され、nが0、1、2及び3から選択される数である式により表され、第2の化合物が、以下の式:
IIA.式Six2X+2であって、式中、xが2〜6の数である式を有するポリシラン化合物;
IIB.式R1 mSiH4-mであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
IIC.式SiH3−R2−SiH3であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基である式を有する化合物;
IID.式R3SiH2−R2−SiH24であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基であり、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基、及びC4〜C10アリール基から選択され、R4が直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIE.式(R34N)nSiH3-n1であって、式中、R1が、水素及び分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIF.シラシクロアルキル化合物;
IIG.トリシリルアミン化合物又はその誘導体;
IIH.式[(R34N)pSiH3-p2NR1又は[R3 pSiH2-pNR1qであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
IIIA.式(R34N)SiH2SiH3であって、式中、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、幾つかの実施形態では、R3及びR4は結合して環を形成することができ、他の実施形態では、R3及びR4が結合せずに環を形成しない式を有する有機アミノジシランからなる群より選択される少なくとも1つの要素を含む、化学前駆体に関する。
本発明の別の実施形態では、本発明に係る方法により形成される膜、並びに、上で特定した特徴を有する膜に関する。
本発明の様々な実施形態は、単独で又は互いに組み合わせて使用することができる。
図1(a)及び(b)は、例1で説明されるような、テトラビニルシラン(TVS)及び1,4−ジシラブタンによる流動性SiC膜の断面SEM画像を示す。後処理の後に、ボトムアップ、シームレス及びボイドフリーなギャップ充填が示された。 図2(a)及び(b)は、例2で説明されるような、テトラビニルシラン(TVS)及びトリシリルアミン(TSA)による流動性SiC膜の断面SEM画像を示す。後処理の後に、ボトムアップ、シームレス及びボイドフリーなギャップ充填が示された。 図3(a)〜(c)は、例3で説明されるような、1、2及び3サイクルの処理で得た断面SEM画像を示す。周期的プロセスが、ギャップを充填するために示された。 図4は、例4で説明されるような、第1の前駆体のテトラビニルシラン(TVS)及び第2の前駆体のジイソプロピルアミノシラン(DIPAS)の共堆積により達成されたボトムアップ、シームレス及びボイドフリーなギャップ充填を示す断面SEM画像を示す。 図5は、例5で説明されるような、第1の前駆体のトリビニルメチルシラン(TVMS)及び第2の前駆体のトリシリルアミン(TSA)の共堆積により達成されたボトムアップ、シームレス及びボイドフリーなギャップ充填を示す断面SEM画像を示す。 図6は、例6で説明されるような、第1の前駆体のトリビニルメチルシラン(TVMS)及び第2の前駆体の1,4−ジシラブタン(DSB)の共堆積により達成されたボトムアップ、シームレス及びボイドフリーなギャップ充填を示す断面SEM画像を示す。 図7は、例7で説明されるような、第1の前駆体のテトラビニルシラン(TVS)及び第2の前駆体のN,N’−ジシリルトリシラザンの共堆積により達成されたボトムアップ、シームレス及びボイドフリーなギャップ充填を示す断面SEM画像を示す。
本明細書で説明される方法及び組成物は、少なくとも2つのケイ素前駆体化合物:少なくとも1つの第1の化合物及び少なくとも1つの第2の化合物を含む。
本明細書で説明されるのは、化学気相堆積(CVD)プロセスにより、表面特徴を有する基材の少なくとも一部に流動性膜を堆積するための、少なくとも2つの前駆体化合物を含む組成物及びそれを使用する方法である。例えば、流動性化学気相堆積処理により堆積した膜は、低いプロセス温度のため、後処理の間に膜収縮を受ける。大きな膜収縮及び膜応力の増加のため、ボイド及びシームが膜中に形成される場合がある。したがって、膜応力を増加する又はボイドを形成することなく、膜を高密度化するのは困難であった。本明細書で説明される組成物及び方法は、少なくとも2つの前駆体化合物の共堆積を使用することによって、基材上の表面特徴の少なくとも一部の充填を改善することでこれらの課題を克服する。理論により束縛されるわけではないが、破壊され、そして少なくとも1つのSi−H結合を有する第2の化合物と少なくとも部分的に反応する不飽和炭素結合を含有する第1の化合物を使用することで、増加した架橋量を生成することができることが理解される。反応は、堆積工程、処理工程、又は堆積工程及び処理工程の両方の間に発生することができる。したがって、架橋の改善により、処理工程(例えば、熱アニール及び/又はUV硬化)の間に発生する場合があるギャップ充填中のボイドが低減される。
本明細書で説明される組成物及び方法は、少なくとも2つのケイ素前駆体化合物:不飽和炭素結合(例えば、炭素−炭素二重結合又は炭素−炭素三重結合)を有する少なくとも1つの第1の化合物と、少なくとも1つのSi−H結合を有する少なくとも1つの第2の化合物とを含む。
第1の化合物は、少なくとも1つの炭素−炭素二重結合又はC−C三重結合を有する化合物であり、化合物は、式RnSiR1 4-nであって、式中、Rが、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基から選択され、R1が、水素及び分枝状C1〜C10アルキル基から選択され、nが0、1、2及び3から選択される数である式により表される。式Iについての例示の化合物としては、限定されないが、以下:
Figure 0006777754
が挙げられる。
第2の化合物は、少なくとも1つのSi−H結合を含む化合物であり、以下の式IIA〜IIH及びIIIA
IIA.式Six2X+2であって、式中、xが2〜6の数である式を有するポリシラン化合物;
IIB.式R1 mSiH4-mであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
IIC.式SiH3−R2−SiH3であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基である式を有する化合物;
IID.式R3SiH2−R2−SiH24であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基であり、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基、及びC4〜C10アリール基から選択され、R4が直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIE.式(R34N)nSiH3-n1であって、式中、R1が、水素及び分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIF.シラシクロアルキル化合物;
IIG.トリシリルアミン化合物又はその誘導体;
IIH.式[(R34N)pSiH3-p2NR1又は[R3 pSiH2-pNR1qであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
IIIA.式(R34N)SiH2SiH3であって、式中、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、幾つかの実施形態では、R3及びR4は結合して環を形成することができ、他の実施形態では、R3及びR4が結合せずに環を形成しない式を有する有機アミノジシラン
からなる群より選択される少なくとも1つである。
式IIAについての例示の化合物としては、限定されないが、ジシラン、トリシラン、テトラシラン、ペンタシラン、ヘキサシラン、及びそれらの組み合わせが挙げられる。
式IIBについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IICについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IIDについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IIEについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IIFについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IIGについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IIHについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
式IIIAについての例示の化合物としては、限定されないが、以下が挙げられる。
Figure 0006777754
上述の式において及び説明を通じて、「直鎖状アルキル」という用語は、1〜10、3〜10又は1〜6個の炭素原子を有する直鎖状官能基を示す。上述の式において及び説明を通じて、「分枝状アルキル」という用語は、3〜10又は1〜6個の炭素原子を有する分枝状官能基を示す。例示の直鎖状又は分枝状アルキル基としては、限定されないが、メチル(Me)、エチル(Et)、イソプロピル(Pri)、イソブチル(Bui)、sec−ブチル(Bus)、tert−ブチル(But)、イソペンチル、tert−ペンチル(am)、イソへキシル、及びネオヘキシルが挙げられる。幾つかの実施形態において、アルキル基は、それらに付着した1つ又は複数の官能基、例えば、限定されないが、アルコキシ基、ジアルキルアミノ基又はそれらの組み合わせを有することができる。他の実施形態において、アルキル基は、それらに付着する1つ又は複数の官能基を有さない。アルキル基は、飽和であることができ、又は代替的に不飽和であることができる。
上述の式において及び説明を通じて、「環状アルキル」という用語は、3〜10、5〜10個の炭素原子を有する環状基を示す。例示の環状アルキル基としては、限定されないが、シクロブチル基、シクロペンチル基、シクロヘキシル基、及びシクロオクチル基が挙げられる。幾つかの実施形態において、環状アルキル基は、1つ又は複数のC1〜C10直鎖状又は分枝状置換基、又は酸素原子若しくは窒素原子を含有する置換基を有することができる。この又は他の実施形態において、環状アルキル基は、置換基として、1つ又は複数の直鎖状又は分枝状アルキル基又はアルコキシ基、例えば、メチルシクロヘキシル基又はメトキシシクロヘキシル基を有することができる。
上述の式において及び説明を通じて、「アリール」という用語は、3〜10、5〜10又は6〜10個の炭素原子を有する芳香族環状官能基を示す。例示のアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−キシリルが挙げられる。
上述の式において及び説明を通じて、「アルケニル基」という用語は、1つ又は複数の炭素−炭素二重結合を有し、2〜12、2〜10又は2〜6個の炭素原子を有する基を示す。例示のアルケニル基としては、限定されないが、ビニル基又はアリル基が挙げられる。
「アルキニル基」という用語は、1つ又は複数の炭素−炭素三重結合を有し、2〜12又は2〜6個の炭素原子を有する基を示す。
「アルキレン基」という用語は、アルキルから2つの水素原子を除去することで誘導される基を示す。例示のアルキレン基としては、限定されないが、メチレン(−CH2−)基又はエチレン(−CH2CH2−)基が挙げられる。
上述の式において及び説明を通じて、「不飽和」という用語は、本明細書で使用される場合、官能基、置換基、環又はブリッジが、1つ又は複数の炭素二重結合又は炭素三重結合を有することを意味する。不飽和環の例は、限定されないが、芳香族環、例えばフェニル環であることができる。「飽和」という用語は、官能基、置換基、環又はブリッジが1つ又は複数の二重結合又は三重結合を有さないことを意味する。
幾つかの実施形態において、式中のアルキル基、アルケニル基、アルキニル基、アリール基、及び/又は環状アルキル基のうちの1つ又は複数は、「置換」されていることがあるか、あるいは、例えば水素原子の代わりに置換された1つ若しくは複数の原子又は原子群を有する場合がある。例示の置換基としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素、アルキル基、及びリンが挙げられる。他の実施形態において、式中のアルキル基、アルケニル基、アルキニル基、芳香族及び/又はアリール基のうちの1つ又は複数は、置換されていないことがある。
本発明に係る第1の前駆体及び第2の前駆体の比は、約0:5〜約2:1の範囲であることができ、それは、選択された第1の前駆体及び第2の前駆体に応じて変化する。本発明の1つの態様において、TSAに対するTVSの比を増加させることを使用して、ボイド形成を低減(又は密度を向上)させることができる。
本明細書で説明されるケイ素前駆体化合物は、様々な方法で、CVD又はALD反応器のような反応チャンバーに送ることができる。1つの実施形態において、液体輸送システムを用いることができる。代替の実施形態において、複合液体輸送及びフラッシュ気化プロセスユニット、例えば、Shoreview(MN)のMSP Corporation製のターボ気化装置を用いることができ、低揮発性材料を容量的に輸送することが可能となり、それにより前駆体の熱分解なく再現可能な輸送及び堆積がもたらされる。液体輸送配合物中で、本明細書で説明される前駆体は、原液形態で運ばれることがあるか、又は代替的にそれを含む溶媒配合物又は組成物中で用いられることがある。したがって、幾つかの実施形態において、前駆体配合物は、基材上に膜を形成するための所与の最終使用用途で望ましく有利であるようことができるように、適切な特徴の溶媒成分を含むことができる。適切な溶媒の例は、シクロヘキサン及びシクロヘキサノンのような非極性アルカン系溶媒からなる群より選択される少なくとも1つの要素を含むことができる。
ケイ素前駆体化合物は、好ましくは、塩化物のようなハライドイオン又はAlのような金属イオンを実質的に含まない。本明細書で使用される場合、「実質的に含まない」という用語は、それが、例えば塩化物、フッ化物、臭化物及びヨウ化物のようなハライドイオン(又はハライド)、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関する場合、5ppm(重量)未満、好ましくは3ppm未満、より好ましくは1ppm未満、最も好ましくは0ppmを意味する。塩化物又は金属イオンは、ケイ素前駆体の分解触媒として作用することが知られている。最終製品中の有意なレベルな塩化物は、ケイ素前駆体を劣化させる場合がある。ケイ素前駆体の段階的な劣化は、膜堆積プロセスに直接影響を与え、半導体製造者に膜仕様を満たすのを難しくさせる。また、保存期間又は安定性は、ケイ素前駆体のより高い劣化速度により悪影響を受け、それにより、1〜2年間の保存期間を保証するのを困難にさせる。さらに、ケイ素前駆体は、分解の際に、水素及びシランのような可燃性及び/又は自然発火性のガスを形成することが知られている。したがって、ケイ素前駆体の加速した分解は、これらの可燃性及び/又は自然発火性のガス状副産物の形成に関する安全性及び性能の問題を示す。
本明細書で説明される膜又はコーティングを形成するために使用される方法は、流動性化学堆積プロセスである。本明細書で開示される方法のための適切な堆積プロセスの例としては、限定されないが、周期的流動性化学気相堆積(CFCVD)、又はプラズマ流動性化学気相堆積(PEFCVD)が挙げられる。本明細書で使用される場合、「流動性化学気相堆積プロセス」という用語は、基材が1つ又は複数の揮発性前駆体にさらされ、それにより、基材表面上で反応及び/又は分解して、流動性オリゴマーケイ素含有種を提供し、次いで、更なる処理の際に固体の膜又は材料が生成され、幾つかの場合では、オリゴマー主の少なくとも一部はポリマー種を含む任意のプロセスを言い表す。本明細書で使用される前駆体、反応剤及び源は「ガス状」と説明されることがあるが、前駆体は、不活性ガスを用いるか又は用いずに、直接気化、バブリング又は昇華により反応器中に輸送される液体又は固体のいずれかであることができることが理解される。幾つかの場合、気化した前駆体は、プラズマ生成器を通過することができる。1つの実施形態において、膜は、プラズマ系(例えば、リモート生成又はその場)CVDプロセスを使用して堆積される。本明細書で使用される場合、「反応器」という用語は、限定されないが、反応チャンバー又は堆積チャンバーを含む。
式I及びIIA〜Hを持つ前駆体化合物は、様々な方法、例えば、限定されないが、ベーパードロー、バブリング又は直接液体注入(DLI)で流動性化学気相堆積反応器に送ることができる。1つの実施形態において、液体輸送システムを用いることができる。別の実施形態において、反応器は、それらが反応器中で組み合わされて流動性液体を堆積するまで、リモートで生成されたプラズマ種を前駆体の蒸気から分離したままにするために、デュアルプレナムシャワーヘッドを備えることができる。代替的な実施形態において、複合液体輸送及びフラッシュ気化プロセスユニット、例えば、Shoreview(MN)のMSP Corporation製のターボ気化装置を用いることができ、低揮発性材料を容量的に輸送することが可能となり、それにより前駆体の熱分解なく再現可能な輸送及び堆積がもたらされる。液体輸送配合物中で、本明細書で説明される前駆体は、原液形態で運ばれることがあるか、又は代替的にそれを含む溶媒配合物又は組成物中で用いられることがある。したがって、幾つかの実施形態において、前駆体配合物は、基材上に膜を形成するための所与の最終使用用途で望ましく有利であるようことができるように、適切な特徴の溶媒成分を含むことができる。幾つかの実施形態において、式I及びIIA〜Hを持つ2つの前駆体が異なる注入入口を用いて輸送され、反応チャンバーに入る前にその2つの前駆体間での反応を防止することができる。別の実施形態において、式I及びIIA〜Hを持つ2つ以上の前駆体は、それらが混合可能である場合は事前に混合することができ、次いで、DLI法を使用して反応チャンバーに送ることができる。
幾つかの実施形態において、基材は、1つ又は複数の前堆積処理、例えば、限定されないが、プラズマ処理、熱処理、化学処理、紫外線照射、電子ビーム照射、及びそれらの組み合わせにさらして、膜の1つ又は複数の特性に影響を及ぼすことができる。これらの前堆積処理は、不活性、酸化及び/又は還元から選択される雰囲気下で行うことができる。
化合物、窒素含有源、酸素源、他の前駆体又はそれらの組み合わせの少なくとも1つにエネルギーを適用して、反応を誘発し、基材上にケイ素含有膜又はコーティングを形成する。そのようなエネルギーは、限定されないが、熱、プラズマ、パルス化プラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、リモートプラズマ法、及びそれらの組み合わせにより提供することができる。幾つかの実施形態において、二次RF周波数源を使用して、基材表面でプラズマ特性を変えることができる。堆積がプラズマを伴う実施形態において、プラズマ生成プロセスは、プラズマが反応器中で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外側で生成され、反応器中に供給されるリモートプラズマ生成プロセスを含むことができる。
前述したように、方法は、表面特徴を含む基材の表面の少なくとも一部に膜を堆積する。基材は、反応器中に設置され、基材は、約−20〜約400℃の範囲の1つ又は複数の温度で維持される。1つの特定の実施形態において、基材の温度はチャンバーの壁未満である。基材温度は100℃未満の温度、好ましくは25℃未満の温度、最も好ましくは10℃未満かつ−20℃超の温度で保たれる。
前述したように、基材は1つ又は複数の表面特徴を含む。1つの特定の実施形態において、1つ又は複数の表面特徴は、1μm以下の幅、又は500nm以下の幅、又は50nm以下の幅、又は10nm以下の幅を有する。この又は他の実施形態において、表面特徴のアスペクト比(深さ:幅比)は、存在する場合は、0.1:1以上、又は1:1以上、又は10:1以上、又は20:1以上、又は40:1以上である。基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラスシート、金属箔、有機ポリマー膜であることができるか、又はポリマー、ガラス、シリコン、又は金属三次元品であることができる。基材は、当技術分野で周知の様々な材料、例えば、酸化ケイ素、窒化ケイ素、非晶質炭素、酸炭化ケイ素、酸窒化ケイ素、炭化ケイ素、ガリウムヒ素、窒化ガリウムなどの膜でコーティングすることができる。これらのコーティングは、基材を完全にコーティングすることができ、様々な材料の複数層中にあることができ、材料の下地層をさらすために部分的にエッチングされることができる。表面はまた、その上に、パターンが露出され、基材を部分的にコーティングするために成長されたフォトレジスト材料を有することができる。
本発明の1つの態様において、基材は、Si、SiOx、SiN、SiGe、SiOC及びSiONからなる群より選択される少なくとも1つの要素を含む。本発明の別の態様において、本発明に係るケイ素含有膜は、ハードマスクとして用いることができ、フォトレジストに対するエッチ選択性を提供する。本発明の更なる態様において、本発明に係るケイ素含有膜は、導電材料間の誘電体膜として、導電材料と他の誘電体材料間のバリアとして、又はサンドイッチ誘電体材料内の膜として機能する。
幾つかの実施形態において、反応器は、大気圧未満、750torr(105パスカル(Pa))以下、又は100torr(13332Pa)以下の圧力である。別の実施形態において、反応器の圧力は、約0.1torr(13Pa)〜約10torr(1333Pa)の範囲で維持される。
1つの特定の実施形態において、少なくとも1つの化合物及びプラズマが反応器中に導入される導入工程は、約−20〜約40℃0、又は−20〜約100℃、又は−20〜約40℃の範囲の1つ又は複数の温度で行われる。これらの又は他の実施形態において、基材は、表面特徴を含む半導体基材を含む。窒素を含むプラズマは、窒素プラズマ、窒素/水素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物から選択することができる。少なくとも1つの化合物及び窒素源は反応して、表面特徴及び基材の少なくとも一部上に窒化ケイ素膜(非化学量論的である)、又は炭窒化ケイ素膜を形成する。本明細書で使用される場合、「有機アミン」という用語は、少なくとも1つの窒素原子を有する有機化合物を示す。有機アミンの例としては、限定されないが、メチルアミン、エチルアミン、プロピルアミン、イソプロピルアミン、tert−ブチルアミン、sec−ブチルアミン、tert−アミルアミン、エチレンジアミン、ジメチルアミン、トリメチルアミン、ジエチルアミン、ピロール、2,6−ジメチルピペリジン、ジ−n−プロピルアミン、ジイソプロピルアミン、エチルメチルアミン、N−メチルアニリン、ピリジン、及びトリエチルアミンが挙げられる。
別の実施形態において、プラズマ源は、限定されないが、炭素源プラズマ、例えば、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ、並びにそれらの混合物からなる群より選択される。少なくとも1つの化合物及び炭素源は反応して、表面特徴及び基材の少なくとも一部上に、炭化ケイ素膜(非化学量論的である)、又は炭窒化ケイ素膜、又は酸窒化ケイ素膜、又は酸炭化ケイ素膜、又は酸炭窒化ケイ素膜を形成する。
異なる実施形態において、プラズマ源は、限定されないが、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ、及びそれらの混合物から選択される。少なくとも1つの化合物及びプラズマは反応して、表面特徴及び基材の少なくとも一部上に、窒化ケイ素膜、又は炭化ケイ素膜、又は炭窒化ケイ素膜を形成する。
幾つかの実施形態において、ケイ素含有膜が堆積された後、基材は、任意選択で、窒化ケイ素膜から酸化ケイ素、又は酸窒化ケイ素、又は炭素ドープ酸化ケイ素膜を形成するのに十分な幾つかのプロセス条件下で、酸素含有源で処理される。酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ、及びそれらの組み合わせから選択することができる。
幾つかの実施形態において、流動性液体又はオリゴマーを、約100〜約1000℃の範囲の1つ又は複数の温度で処理して、材料の少なくとも一部を高密度化する。
幾つかの実施形態において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム、又はUV光にさらされ、高密度膜を形成する。
上記の工程は本明細書で説明される方法についての1サイクルを規定し、そのサイクルは、所望の厚さのケイ素含有膜が得られるまで繰り返すことができる。この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部の間に)行うことができ、及びそれらの任意の組み合わせであることできることが理解される。化合物及び他の反応剤を供給するそれぞれの工程は、得られるケイ素含有膜の化学量論的組成を変えるために、それらを供給する時間を変更することで行うことができる。
1つの態様において、ケイ素含有膜を堆積するための方法であって、
−20〜約400℃の範囲の1つ又は複数の温度である反応器中に、表面特徴を含む基材を設置する工程と、
少なくとも1つの炭素−炭素二重結合又は炭素−炭素三重結合を有する少なくとも1つの第1の化合物を反応器中に導入する工程であって、第1の化合物が、式RnSiR1 4-nを有し、式中、Rが、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基から選択され、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、nが0、1、2及び3から選択される数である、工程と、
少なくとも1つのSi−H結合を有する第2の化合物を反応器中に導入する工程であって、少なくとも1つの第2の化合物が、以下の式IIA〜IIH及びIIIA:
IIA.式Six2X+2であって、式中、xが2〜6の数である式を有するポリシラン化合物;
IIB.式R1 mSiH4-mであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
IIC.式SiH3−R2−SiH3であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基である式を有する化合物;
IID.式R3SiH2−R2−SiH24であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基であり、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基、及びC4〜C10アリール基から選択され、R4が直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIE.式(R34N)nSiH3-n1であって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
IIF.シラシクロアルキル化合物;
IIG.トリシリルアミン化合物又はその誘導体;
IIH.式[(R34N)pSiH3-p2NR1又は[R3 pSiH2-pNR1qであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
IIIA.式(R34N)SiH2SiH3であって、式中、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する有機アミノジシラン
からなる群より選択される工程と、
プラズマ源を反応器中に提供して、第1の化合物と第2の化合物とが少なくとも部分的に反応して、流動性液体又はオリゴマーを形成する工程であって、流動性液体又はオリゴマーが、表面特徴の一部を少なくとも部分的に充填する工程と
を含む方法が提供される。上記の工程は本明細書で説明される方法についての1サイクルを規定し、そのサイクルは、所望の厚さのケイ素含有膜が得られるまで繰り返すことができる。この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部の間に)行うことができ、及びそれらの任意の組み合わせであることできることが理解される。化合物及び他の反応剤を供給するそれぞれの工程は、得られるケイ素含有膜の化学量論的組成を変えるために、それらを供給する時間を変更することで行うことができる。
窒素を含むプラズマは、窒素プラズマ、窒素/水素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、アンモニア/窒素プラズマ、NF3、NF3プラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択することができる。
別の実施形態において、プラズマ源は、限定されないが、炭素源プラズマ、例えば、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ、並びにそれらの混合物からなる群より選択される。
上述の又は代替的な実施形態のいずれかにおいて、プラズマ源は、限定されないが、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ、及びそれらの混合物から選択される。少なくとも1つの化合物及びプラズマは反応して、表面特徴及び基材の少なくとも一部上に窒化ケイ素膜、炭化ケイ素膜、又は炭窒化ケイ素膜を形成する。
幾つかの実施形態において、ケイ素含有膜が堆積された後、基材は、任意選択で、窒化ケイ素膜から酸化ケイ素、又は酸窒化ケイ素、又は炭素ドープ酸化ケイ素膜を形成するのに十分な幾つかのプロセス条件下で、酸素含有源で処理される。酸素含有源は、水(H2O)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、一酸化炭素(CO)、二酸化炭素(CO2)、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ、及びそれらの組み合わせから選択することができる。
上述の又は代替的な実施形態のいずれかにおいて、流動性液体又はオリゴマーは、約100〜約1000℃の範囲の1つ又は複数の温度で処理されて、材料の少なくとも一部を高密度化する。
幾つかの実施形態において、後熱処理材料は、プラズマ、赤外光、化学処理、電子ビーム、又はUV光にさらされて、高密度膜を形成する。本発明の1つの実施形態において、UB光照射を含む後処理は、エチレン及びシランガス状副産物を排出する条件下で行われる。
以下の例は、本発明の幾つかの実施形態を例示するために提供され、添付の特許請求の範囲に記載の範囲を限定しない。
中低効率(8〜12Ωcm)の単結晶シリコンウエハ基材及びSiパターンウエハ上に流動性化学気相堆積(FCVD)膜を堆積した。幾つかの例において、得られたケイ素含有膜又はコーティングは、前堆積処理、例えば、限定されないが、プラズマ処理、熱処理、化学処理、紫外線照射、赤外線照射、電子ビーム照射、及び/又は他の処理にさらして、膜の1つ又は複数の特性に影響を及ぼすことができる。
Applied Materials Precision 5000システムの修正FCVDチャンバーでの堆積を、シラン又はTEOSプロセスキットのいずれかを使用して行うことができる。チャンバーは、直接液体注入(DLI)輸送能力を有する。前駆体は、その前駆体の沸点に依存する輸送温度を持つ液体である。初期流動性窒化物膜を堆積するために、典型的な液体前駆体の流速は100〜5000mg/分、その場プラズマ電力密度は0.25〜3.5W/cm2、圧力は0.75〜12Torrであった。堆積した流動性膜を高密度化するために、膜を、100〜1000℃、好ましくは300〜400℃で修正PECVDチャンバーを使用して真空中でUV硬化及び/又は熱処理した。厚さ及び632nmでの反射率(RI)を、SCI反射率計又はWoollamエリプソメーターにより測定した。典型的な膜厚は10〜2000nmであった。ケイ素系膜の結合特性及び水素含有量(Si−H、C−H及びN−H)を、Nicolet透過フーリエ変換赤外分光(FTIR)ツールにより測定及び分析した。全ての密度測定を、X線反射(XRR)を使用して得た。X線光電子分光(XPS)及び二次イオン質量分光(SIMS)分析を行い、膜の元素組成を決定した。パターン化ウエハへの流動性及びギャップ充填効果を、2.0nmの分解能でHitachi S−4700システムを使用して断面走査電子顕微鏡(SEM)で観測した。
流動性CVD堆積を、実験計画法(DOE)を使用して行った。実験計画は、100〜5000mg/分、好ましくは500〜2000mg/分の2つの前駆体流;0〜1000sccm、好ましくは0〜500sccmのNH3流;0.75〜12Torr、好ましくは6〜10Torrの圧力;50〜1000W、好ましくは100〜500WのRF電力(13.56MHz);0〜100Wの低周波数(LF)電力;及び−20〜400、好ましくは−20〜40℃の範囲の堆積温度を含む。
例1:テトラビニルシラン(TVS、式I)及び1,4−ジシラブタン(DSB、式IID)を使用した流動性炭化ケイ素膜の堆積
実験において、最も好ましい膜特性を持つ流動性炭化ケイ素(SiC)膜を堆積するために使用したプロセス条件は、以下のとおりであった:電力=150W、間隔=250ミル、圧力=8Torr、温度=35〜40℃、TVS=500mg/分、1,4−ジシラブタン=750mg/分、He=200sccm。流動性膜を300℃で5分間熱アニールして、400℃で1、3、5及び10分間UV硬化を行った。反射率及び膜密度はUV処理時間と共に増加した。断面SEMは、図1(a)及び図1(b)に示されるように、前駆体化合物TVS及び1,4−ジシラブタンの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。膜を300℃で5分間熱アニールして、400℃で1分間UV硬化した。流動性SiC膜は、周辺環境にさらされることにより良好な安定性を示した。安定性は、(例えば、反射率により測定されるように)膜厚に対する変化を検出することで測定し、ここで、低い安定性を持つ膜は、周辺の湿気及び酸素レベルにさらされた際に酸化されることで厚さが減少する。厚さ又は反射率の変化はなかった。
例2:テトラビニルシラン(TVS、式I)及びトリシリルアミン(TSA、式IIG)を使用した流動性炭窒化ケイ素膜の堆積
この実験において、最も好ましい膜特性を持つ流動性炭窒化ケイ素(SiCN)膜を堆積するために使用したプロセス条件は、以下のとおりであった:電力=200W、間隔=300ミル、圧力=6Torr、温度=30℃、TVS=1700mg/分、TSA=1000mg/分、He=200sccm、NH3=450sccm。流動性膜を300℃で5分間熱アニールして、400℃で1、3、5及び10分間UV硬化を行った。反射率及び膜密度はUV時間と共に増加した。断面SEMは、図2(a)及び図2(b)に示されるように、第1の前駆体TVS及び第2の前駆体TSAの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。膜を300℃で5分間熱アニールして、400℃で10分間UV硬化した。流動性SiCN膜は、周辺環境にさらされることにより良好な安定性を示した。厚さ又は反射率の変化はなかった。WERをまた、希釈HF溶液中に膜を浸漬することで試験した。流動性SiCN膜は、HF溶液のエッチ耐性を示した。
例3:周期的プロセスによるテトラビニルシラン(TVS、式I)及びトリシリルアミン(TSA、式IIG)を使用した流動性炭窒化ケイ素膜の堆積
この実験では、例2での堆積条件を使用した。堆積時間を10秒間から1.5秒間に低減した。図3(a)〜3(c)は、1、2及び3サイクルの処理後のギャップ充填効果を示す。サイクル毎で、膜を堆積し、300℃で5分間熱アニールして、400℃で10分間UV硬化した。1サイクルの処理では、図3(a)に示されるように、ギャップの80〜90%を充填することができた。3サイクルの処理により、ギャップは完全に充填され、膜の層は構造の上部で成長し始めた。
例4:テトラビニルシラン(TVS、式I)及びジイソプロピルアミノシラン(DIPAS、式IIE)を使用した流動性炭窒化ケイ素膜の堆積
この実験において、流動性SiCN膜のためにTVS及びDIPASを共堆積した。好ましい堆積条件は、電力=200〜400W、間隔=300ミル、圧力=6Torr、温度=25〜30℃、TVS=1000mg/分、DIPAS=1000〜1500mg/分、He=200sccm、NH3=450〜1000sccmを含んでいた。ウェットな流動性膜をこれらの条件下で堆積した。次いで、膜を300℃で5分間熱アニールした。断面SEMは、図4に示されるように、第1の前駆体TVS及び第2の前駆体DIPASの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。
例5:トリビニルメチルシラン(TVMS、式I)及びトリシリルアミン(TSA、式IIG)を使用した流動性炭窒化ケイ素膜の堆積
この実験において、流動性SiCN膜のためにTVMS及びTSAを共堆積した。好ましい堆積条件は、電力=200W、間隔=300ミル、圧力=6Torr、温度=25〜30℃、TSA=1000mg/分、TVMS=1000〜1500mg/分、He=200sccm、NH3=600〜1200sccmを含んでいた。ウェットな流動性膜をこれらの条件下で堆積した。次いで、膜を300℃で5分間熱アニールし、400℃で10分間UV硬化した。断面SEMは、図5に示されるように、第1の前駆体TVMS及び第2の前駆体TSAの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。TVSにおけるビニル基をTVMSにおけるメチル基に置き換えることで、流動性又はギャップ充填の結果を損なうことはなかった。しかしながら、架橋を形成することがなければ、TVMS及びTSAにより堆積した膜は、TVS+TSAによるものより低い密度を有していた。
例6:トリビニルメチルシラン(TVMS、式I)及び1,4−ジシラブタン(DSB、式IIC)を使用した流動性炭窒化ケイ素膜の堆積
この実験において、流動性SiC膜のためにTVMS及びDSBを共堆積した。好ましい堆積条件は、電力=200〜400W、間隔=300ミル、圧力=6Torr、温度=25〜30℃、DSB=1000mg/分、TVMS=1000〜1500mg/分、He=200sccm、NH3=600〜1200sccmを含んでいた。ウェットな流動性膜をこれらの条件下で堆積した。次いで、膜を300℃で5分間熱アニールした。断面SEMは、図6に示されるように、第1の前駆体TVMS及び第2の前駆体DSBの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。
例7:テトラビニルシラン(TVS、式I)及びN,N’−ジシリルトリシラザン(式IIG)を使用した流動性炭窒化ケイ素膜の堆積
この実験において、流動性SiCN膜のためにTVS及びTSAダイマーを共堆積した。好ましい堆積条件は、電力=150〜300W、間隔=200〜400ミル、圧力=6Torr、温度=25〜30℃、TSAダイマー=1000〜1500mg/分、TVS=900〜1300mg/分、He=200sccm、NH3=600〜1200sccmを含んでいた。ウェットな流動性膜をこれらの条件下で堆積した。次いで、膜を300℃で5分間熱アニールし、400℃で10分間UV硬化した。断面SEMは、図7に示されるように、第1の前駆体TVS及び第2の前駆体TSAダイマーの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。TVS及びTSAの組み合わせと比較すると、TVS及びTSAダイマーの組み合わせは、より高い膜密度(>1.8g/cm3)、より高いN含有量(18〜19%)、及びより低いC含有量(36〜37%)を示した。
例8:テトラビニルシラン(TVS、式I)及びジイソプロピルアミノジシラン(DIPADS、式IIIA)を使用した流動性炭窒化ケイ素膜の堆積
この実験において、流動性SiCN膜のためにTVS及びDIPADSを共堆積した。好ましい堆積条件は、電力=200〜400W、間隔=300ミル、圧力=6Torr、温度=25〜30℃、TVS=1000〜1500mg/分、DIPADS=1000〜1500mg/分、He=200sccm、NH3=500〜1000sccmを含んでいた。ウェットな流動性膜をこれらの条件下で堆積した。次いで、膜を300℃で5分間熱アニールし、400℃で10分間UV硬化した。断面SEMは、第1の前駆体TVS及び第2の前駆体DIPADSの共堆積によりボトムアップ、シームレス及びボイドフリーなギャップ充填を達成したことを示している。
本発明の幾つかの原理を複数の態様又は実施形態を参照して上で説明してきたが、この説明は、例示する目的のみでなされ、本発明の範囲を限定するものとしてなされるものではないことが明確に理解されるべきである。
本開示は以下も包含する。
[1]
流動性化学気相堆積プロセスでケイ素含有膜を堆積するための方法であって、
−20〜約400℃の範囲の1つ又は複数の温度である反応器中に、表面特徴を含む基材を設置する工程と、
少なくとも1つの炭素−炭素二重結合又は炭素−炭素三重結合を有する第1の化合物を反応器中に導入する工程であって、前記第1の化合物が、式R n SiR 1 4-n を有し、式中、Rが、直鎖状又は分枝状C 2 〜C 6 アルケニル基、直鎖状又は分枝状C 2 〜C 6 アルキニル基から選択され、R 1 が、水素及び分枝状C 1 〜C 10 アルキル基から選択され、nが0、1、2、及び3から選択される数である、工程と、
少なくとも1つのSi−H結合を有する第2の化合物を反応器中に導入する工程であって、前記少なくとも1つの第2の化合物が、以下の式IIA〜IIH:
IIA.式Si x 2X+2 であって、式中、xが2〜6の数である式を有するポリシラン化合物;
IIB.式R 1 m SiH 4-m であって、式中、R 1 が、水素及び直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
IIC.式SiH 3 −R 2 −SiH 3 であって、式中、R 2 が、直鎖状又は分枝状C 1 〜C 6 アルキレン基である式を有する化合物;
IID.式R 3 SiH 2 −R 2 −SiH 2 4 であって、式中、R 2 が、直鎖状又は分枝状C 1 〜C 6 アルキレン基であり、R 3 が、水素、直鎖状又は分枝状C 1 〜C 10 アルキル基、及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択される式を有する化合物;
IIE.式(R 3 4 N) n SiH 3-n 1 であって、式中、R 1 が、水素及び直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、R 3 が、水素、直鎖状又は分枝状C 1 〜C 10 アルキル基及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択される式を有する化合物;
IIF.シラシクロアルキル化合物;
IIG.トリシリルアミン化合物又はその誘導体;
IIH.式[(R 3 4 N) p SiH 3-p 2 NR 1 又は[R 3 p SiH 2-p NR 1 q であって、式中、R 1 が、水素及び直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、R 3 が、水素、直鎖状又は分枝状C 1 〜C 10 アルキル基及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
IIIA.式(R 3 4 N)SiH 2 SiH 3 であって、式中、R 3 が、水素、分枝状C 1 〜C 10 アルキル基及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択される式を有する有機アミノジシラン
からなる群より選択される工程とを含む方法。
[2]
前記提供工程が、窒素プラズマ、窒素及び水素を含むプラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、アンモニア及び窒素を含むプラズマ、NF 3 、NF 3 プラズマ、有機アミンプラズマ、並びにそれらの混合物からなる群より選択される、窒素を含むプラズマ源を含む、上記態様1に記載の方法。
[3]
前記提供工程が、限定されないが、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ、並びにそれらの混合物を含む炭素源プラズマからなる群より選択されるプラズマ源を含む、上記態様1に記載の方法。
[4]
前記プラズマ源が、限定されないが、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ、及びそれらの混合物から選択される、上記態様1に記載の方法。
[5]
前記提供工程が、水(H 2 O)プラズマ、酸素プラズマ、オゾン(O 3 )プラズマ、NOプラズマ、N 2 Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO 2 )プラズマ、及びそれらの組み合わせからなる群より選択される、酸素を含むプラズマ源を含む、上記態様1に記載の方法。
[6]
約100〜約1000℃の範囲の1つ又は複数の温度で流動性液体を処理して、材料の少なくとも一部を高密度化することをさらに含む、上記態様1に記載の方法。
[7]
後熱処理材料が、プラズマ、赤外光、化学処理、電子ビーム、又はUV光にさらされ、高密度膜を形成する、上記態様1に記載の方法。
[8]
前記堆積プロセスがプラズマ化学気相堆積であり、プラズマがその場で生成される、上記態様1に記載の方法。
[9]
前記堆積プロセスがプラズマ化学気相堆積であり、プラズマがリモートで生成される、上記態様1に記載の方法。
[10]
前記反応器の圧力が、100torr以下で維持される、上記態様1に記載の方法。
[11]
前記ケイ素含有膜が、炭化ケイ素、窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素、酸窒化ケイ素、及び炭素ドープ酸窒化ケイ素の膜からなる群より選択される、上記態様1に記載の方法。
[12]
少なくとも2つの化合物を含む、ケイ素含有膜を形成するための化学前駆体であって、第1の化合物が、少なくとも1つの炭素−炭素二重結合又はC−C三重結合を有する化合物からなる群より選択される少なくとも1つの要素を含み、前記化合物が、式R n SiR 1 4-n であって、式中、Rが、直鎖状又は分枝状C 2 〜C 6 アルケニル基、直鎖状又は分枝状C 2 〜C 6 アルキニル基から選択され、R 1 が、水素及び分枝状C 1 〜C 10 アルキル基から選択され、nが0、1、2、及び3から選択される数であり、第2の化合物が、以下の式:
IIA.式Si x 2X+2 であって、式中、xが2〜6の数である式を有するポリシラン化合物;
IIB.式R 1 m SiH 4-m であって、式中、R 1 が、水素及び直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
IIC.式SiH 3 −R 2 −SiH 3 であって、式中、R 2 が、直鎖状又は分枝状C 1 〜C 6 アルキレン基である式を有する化合物;
IID.式R 3 SiH 2 −R 2 −SiH 2 4 であって、式中、R 2 が、直鎖状又は分枝状C 1 〜C 6 アルキレン基であり、R 3 が、水素、直鎖状又は分枝状C 1 〜C 10 アルキル基、及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択される式を有する化合物;
IIE.式(R 3 4 N) n SiH 3-n 1 であって、式中、R 1 が、水素及び分枝状C 1 〜C 10 アルキル基から選択され、R 3 が、水素、直鎖状又は分枝状C 1 〜C 10 アルキル基及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択される式を有する化合物;
IIF.シラシクロアルキル化合物;
IIG.トリシリルアミン化合物又はその誘導体;
IIH.式[(R 3 4 N) p SiH 3-p 2 NR 1 又は[R 3 p SiH 2-p NR 1 q であって、式中、R 1 が、水素及び直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、R 3 が、水素、直鎖状又は分枝状C 1 〜C 10 アルキル基及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
IIIA.式(R 3 4 N)SiH 2 SiH 3 であって、式中、R 3 が、水素、分枝状C 1 〜C 10 アルキル基及びC 4 〜C 10 アリール基から選択され、R 4 が、直鎖状又は分枝状C 1 〜C 10 アルキル基から選択され、幾つかの実施形態では、R 3 及びR 4 が結合して環を形成することができ、他の実施形態では、R 3 及びR 4 が結合せずに環を形成しない式を有する有機アミノジシラン
からなる群より選択される少なくとも1つの要素を含む、前駆体。
[13]
前記第1の化合物が、限定されないが、以下:
Figure 0006777754
を含む式Iについての例示の化合物からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[14]
前記第2の化合物が、ジシラン、トリシラン、テトラシラン、ペンタシラン、ヘキサシラン、及びそれらの組み合わせからなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[15]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[16]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[17]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[18]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[19]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[20]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[21]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[22]
前記第2の化合物が、
Figure 0006777754
からなる群より選択される少なくとも1つの要素を含む、上記態様12に記載の前駆体。
[23]
上記態様1に記載の方法により得られる膜。
[24]
基材の少なくとも一部上のケイ素含有膜であって、以下の特性i)UV硬化後に約150〜約190MPaの範囲の膜引張応力、及びii)約1.35〜約2.10g/cm 3 の範囲の密度のうち少なくとも1つを有する、膜。

Claims (21)

  1. 流動性化学気相堆積プロセスでケイ素含有膜を堆積するための方法であって、
    −20〜400℃の範囲の1つ又は複数の温度である反応器中に、表面特徴を含む基材を設置する工程と、
    少なくとも1つの炭素−炭素二重結合又は炭素−炭素三重結合を有する第1の化合物を反応器中に導入する工程であって、前記第1の化合物が、式RnSiR1 4-nを有し、式中、Rが、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基から選択され、R1が、水素及び分枝状C1〜C10アルキル基から選択され、nが1、2、及び3から選択される数である、工程と、
    少なくとも1つのSi−H結合を有する第2の化合物を反応器中に導入する工程であって、前記少なくとも1つの第2の化合物が、以下の式IIA〜IIH及びIIIA
    IIA.式Six2X+2であって、式中、xが2〜6の数である式を有するポリシラン化合物;
    IIB.式R1 mSiH4-mであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
    IIC.式SiH3−R2−SiH3であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基である式を有する化合物;
    IID.式R3SiH2−R2−SiH24であって、式中、R2が、直鎖状又は分枝状C1〜C6アルキレン基であり、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基、及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
    IIE.式(R34N)nSiH3-n1であって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
    IIF.シラシクロアルキル化合物;
    IIG.トリシリルアミン化合物又はその誘導体;
    IIH.式[(R34N)pSiH3-p2NR1又は[R3 pSiH2-pNR1qであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
    IIIA.式(R34N)SiH2SiH3であって、式中、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する有機アミノジシラン
    からなる群より選択される工程と
    プラズマ源を反応器中に提供して、前記第1の化合物と前記第2の化合物とが少なくとも部分的に反応して、流動性液体又はオリゴマーを形成する工程であって、前記流動性液体又はオリゴマーが、表面特徴の一部を少なくとも部分的に充填する工程とを含む方法。
  2. 前記プラズマ源が、窒素プラズマ、窒素及び水素を含むプラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、アンモニア及び窒素を含むプラズマ、NF3、NF3プラズマ、有機アミンプラズマ、並びにそれらの混合物からなる群より選択される、請求項1に記載の方法。
  3. 前記プラズマ源、炭化水素プラズマ、炭化水素及びヘリウムを含むプラズマ、炭化水素及びアルゴンを含むプラズマ、二酸化炭素プラズマ、一酸化炭素プラズマ、炭化水素及び水素を含むプラズマ、炭化水素及び窒素源を含むプラズマ、炭化水素及び酸素源を含むプラズマ、並びにそれらの混合物を含む炭素源プラズマからなる群より選択される、請求項1に記載の方法。
  4. 前記プラズマ源が、水素プラズマ、ヘリウムプラズマ、アルゴンプラズマ、キセノンプラズマ、及びそれらの混合物から選択される、請求項1に記載の方法。
  5. 前記プラズマ源が、水(H2O)プラズマ、酸素プラズマ、オゾン(O3)プラズマ、NOプラズマ、N2Oプラズマ、一酸化炭素(CO)プラズマ、二酸化炭素(CO2)プラズマ、及びそれらの組み合わせからなる群より選択される、請求項1に記載の方法。
  6. 00〜1000℃の範囲の1つ又は複数の温度で流動性液体を処理して、材料の少なくとも一部を高密度化することをさらに含む、請求項1に記載の方法。
  7. 後熱処理材料が、プラズマ、赤外光、化学処理、電子ビーム、又はUV光にさらされ、高密度膜を形成する、請求項1に記載の方法。
  8. 前記堆積プロセスがプラズマ化学気相堆積であり、プラズマがその場で生成される、請求項1に記載の方法。
  9. 前記堆積プロセスがプラズマ化学気相堆積であり、プラズマがリモートで生成される、請求項1に記載の方法。
  10. 前記反応器の圧力が、100torr以下で維持される、請求項1に記載の方法。
  11. 前記ケイ素含有膜が、炭化ケイ素、窒化ケイ素、酸化ケイ素、炭素ドープ窒化ケイ素、炭素ドープ酸化ケイ素、酸窒化ケイ素、及び炭素ドープ酸窒化ケイ素の膜からなる群より選択される、請求項1に記載の方法。
  12. 少なくとも2つの化合物を含む、ケイ素含有膜を形成するための化学前駆体であって、第1の化合物が、少なくとも1つの炭素−炭素二重結合又はC−C三重結合を有する化合物からなる群より選択される少なくとも1つの要素を含み、前記化合物が、式RnSiR1 4-nであって、式中、Rが、直鎖状又は分枝状C2〜C6アルケニル基、直鎖状又は分枝状C2〜C6アルキニル基から選択され、R1が、水素及び分枝状C1〜C10アルキル基から選択され、nが1、2、及び3から選択される数であり、第2の化合物が、以下の式:
    IIA.式Six2X+2であって、式中、xが〜6の数である式を有するポリシラン化合物;
    IIB.式R1 mSiH4-mであって、式中、R1、直鎖状又は分枝状C1〜C10アルキル基から選択され、mが1、2及び3から選択される数である式を有する化合物;
    IIC.
    Figure 0006777754
    からなる群より選択される少なくとも1つの化合物;
    IID.
    Figure 0006777754
    からなる群より選択される少なくとも1つの化合物;
    IIE.式(R34N)nSiH3-n1であって、式中、R1、分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択される式を有する化合物;
    IIF.
    Figure 0006777754

    IIG.トリシリルアミン化合物の誘導体;
    IIH.式[(R34N)pSiH3-p2NR1又は[R3 pSiH2-pNR1qであって、式中、R1が、水素及び直鎖状又は分枝状C1〜C10アルキル基から選択され、R3が、水素、直鎖状又は分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C1〜C10アルキル基から選択され、p=0、1、2、q=2又は3である式を有するシラザン化合物;並びに
    IIIA.式(R34N)SiH2SiH3であって、式中、R3が、水素、分枝状C1〜C10アルキル基及びC4〜C10アリール基から選択され、R4が、直鎖状又は分枝状C 5 〜C10アルキル基から選択され式を有する有機アミノジシラン
    からなる群より選択される少なくとも1つの要素を含む、前駆体。
  13. 前記第1の化合物が、以下:
    Figure 0006777754
    らなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  14. 前記第2の化合物が、トリシラン、テトラシラン、ペンタシラン、ヘキサシラン、及びそれらの組み合わせからなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  15. 前記第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  16. 前記第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  17. 前記第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  18. 少なくとも2つの化合物を含む、ケイ素含有膜を形成するための化学前駆体であって、第1の化合物が、少なくとも1つの炭素−炭素二重結合又はC−C三重結合を有する化合物からなる群より選択される少なくとも1つの要素を含み、前記化合物が、式R n SiR 1 4-n であって、式中、Rが、直鎖状又は分枝状C 2 〜C 6 アルケニル基、直鎖状又は分枝状C 2 〜C 6 アルキニル基から選択され、R 1 が、水素及び分枝状C 1 〜C 10 アルキル基から選択され、nが1、2、及び3から選択される数であり、第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、前駆体。
  19. 前記第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  20. 前記第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
  21. 前記第2の化合物が、
    Figure 0006777754
    からなる群より選択される少なくとも1つの要素を含む、請求項12に記載の前駆体。
JP2018544903A 2016-02-26 2017-02-22 ケイ素含有膜の堆積のための組成物及びそれを使用した方法 Active JP6777754B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662300312P 2016-02-26 2016-02-26
US62/300,312 2016-02-26
PCT/US2017/018873 WO2017147150A1 (en) 2016-02-26 2017-02-22 Compositions and methods using same for deposition of silicon-containing film

Publications (2)

Publication Number Publication Date
JP2019507956A JP2019507956A (ja) 2019-03-22
JP6777754B2 true JP6777754B2 (ja) 2020-10-28

Family

ID=59685564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018544903A Active JP6777754B2 (ja) 2016-02-26 2017-02-22 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Country Status (9)

Country Link
US (1) US20190055645A1 (ja)
EP (1) EP3420117B1 (ja)
JP (1) JP6777754B2 (ja)
KR (2) KR102482618B1 (ja)
CN (2) CN114231947A (ja)
IL (1) IL261283A (ja)
SG (1) SG11201807211XA (ja)
TW (2) TWI715732B (ja)
WO (1) WO2017147150A1 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6824717B2 (ja) * 2016-12-09 2021-02-03 東京エレクトロン株式会社 SiC膜の成膜方法
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
JP2020534692A (ja) * 2017-09-21 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高アスペクト比堆積
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US11373866B2 (en) * 2018-06-29 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric material and methods of forming same
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP2020136387A (ja) * 2019-02-15 2020-08-31 東京エレクトロン株式会社 成膜方法、成膜処理用の処理容器のクリーニング方法及び成膜装置
WO2020242868A1 (en) * 2019-05-24 2020-12-03 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
KR20230158472A (ko) * 2021-03-22 2023-11-20 가부시키가이샤 코쿠사이 엘렉트릭 처리 방법, 반도체 장치의 제조 방법, 처리 장치 및 프로그램
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법
WO2024112598A1 (en) * 2022-11-22 2024-05-30 Entegris, Inc. Disilylamine precursors and related methods

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
CN101572232B (zh) * 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
JP4479190B2 (ja) * 2003-08-22 2010-06-09 東ソー株式会社 アルケニル基含有有機シラン化合物を含んでなる絶縁膜用材料、それを用いた絶縁膜および半導体デバイス
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
TWI263265B (en) * 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US8084294B2 (en) * 2005-02-18 2011-12-27 Nec Corporation Method of fabricating organic silicon film, semiconductor device including the same, and method of fabricating the semiconductor device
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7622162B1 (en) * 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5304983B2 (ja) * 2008-02-12 2013-10-02 Jsr株式会社 ケイ素含有膜形成用組成物
JP5309619B2 (ja) * 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
CN102318044A (zh) * 2008-05-08 2012-01-11 巴斯夫欧洲公司 包含碳化硅层的层型结构、其制备方法及其用途
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8541053B2 (en) * 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
JP5731841B2 (ja) * 2011-02-02 2015-06-10 大陽日酸株式会社 シリコン窒化膜の形成方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US8753985B2 (en) * 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US11626279B2 (en) * 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US20130243968A1 (en) * 2012-03-16 2013-09-19 Air Products And Chemicals, Inc. Catalyst synthesis for organosilane sol-gel reactions
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6206096B2 (ja) * 2013-10-31 2017-10-04 富士通株式会社 半導体装置の製造方法
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same

Also Published As

Publication number Publication date
CN109072426B (zh) 2021-12-03
KR102482618B1 (ko) 2022-12-28
US20190055645A1 (en) 2019-02-21
TW202113124A (zh) 2021-04-01
CN109072426A (zh) 2018-12-21
KR20180114197A (ko) 2018-10-17
JP2019507956A (ja) 2019-03-22
SG11201807211XA (en) 2018-09-27
TWI773002B (zh) 2022-08-01
EP3420117A1 (en) 2019-01-02
EP3420117A4 (en) 2020-01-15
TWI715732B (zh) 2021-01-11
KR20210060654A (ko) 2021-05-26
IL261283A (en) 2018-10-31
KR102255727B1 (ko) 2021-05-26
CN114231947A (zh) 2022-03-25
EP3420117B1 (en) 2024-04-24
WO2017147150A1 (en) 2017-08-31
TW201742947A (zh) 2017-12-16

Similar Documents

Publication Publication Date Title
JP6777754B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP6949912B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
TWI654335B (zh) 製造用於填充表面特徵的低k膜的前驅物及流動cvd方法
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
JP7485732B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
JP2019534570A (ja) 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
TWI744957B (zh) 用於沉積含矽膜的組合物及其使用方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181019

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191029

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200430

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200908

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201008

R150 Certificate of patent or registration of utility model

Ref document number: 6777754

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250