CN109072426A - 组合物和使用其沉积含硅膜的方法 - Google Patents

组合物和使用其沉积含硅膜的方法 Download PDF

Info

Publication number
CN109072426A
CN109072426A CN201780018637.5A CN201780018637A CN109072426A CN 109072426 A CN109072426 A CN 109072426A CN 201780018637 A CN201780018637 A CN 201780018637A CN 109072426 A CN109072426 A CN 109072426A
Authority
CN
China
Prior art keywords
plasma
compound
alkyl
sih
chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780018637.5A
Other languages
English (en)
Other versions
CN109072426B (zh
Inventor
李建恒
雷新建
R·N·弗蒂斯
R·G·里德格韦
D·西纳托雷
萧满超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202111363990.1A priority Critical patent/CN114231947A/zh
Publication of CN109072426A publication Critical patent/CN109072426A/zh
Application granted granted Critical
Publication of CN109072426B publication Critical patent/CN109072426B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Prostheses (AREA)
  • Silicon Polymers (AREA)
  • Silicon Compounds (AREA)

Abstract

本文描述了组合物和使用其在具有表面特征的衬底的至少一个表面上形成含硅膜的方法,所述含硅膜例如但不限于碳化硅、氮化硅、氧化硅、氮氧化硅,碳掺杂氮化硅、碳掺杂氧化硅或碳掺杂氮氧化硅膜。在一个方面中,使用包含碳‑碳双键或碳‑碳三键的第一化合物和包含至少一个Si‑H键的第二化合物的共沉积来沉积含硅膜。

Description

组合物和使用其沉积含硅膜的方法
相关申请的交叉引用
本申请要求于2016年2月26日提交的申请号62/300,312的权益。申请号62/300,312的公开内容通过引用并入本文。
技术领域
本文描述了一种用于制造电子器件的工艺。更具体而言,本文描述了用于在沉积工艺(例如但不限于可流动化学气相沉积)中形成含硅膜的组合物。可使用本文描述的组合物和方法沉积的示例性含硅膜包括但不限于氧化硅、氮化硅、碳化硅、氮氧化硅或碳掺杂氧化硅或碳掺杂氮化硅膜。
背景技术
美国公开号2013/0217241公开了含Si-C-N的可流动层的沉积和处理。Si和C可来自含Si-C的前体,而N可来自含N的前体。处理含Si-C-N的初始可流动层以除去使得能够流动的组分。这些组分的除去可增加蚀刻耐受性、减少收缩、调节膜张力和电性质。后处理可以是热退火、UV暴露或高密度等离子体。
美国专利号8889566公开了一种通过用局部等离子体激发硅前体并用第二等离子体沉积来沉积可流动膜的方法。所述硅前体可以是甲硅烷基胺、更高级的硅烷或卤代硅烷。第二反应物气体可以是NH3、N2、H2和/或O2
美国专利号7825040公开了一种通过引入烷氧基硅烷或氨基硅烷前体填充间隙,并通过等离子体反应沉积可流动的含Si膜的方法。所述前体不含Si-C键或C-C键。
美国专利号8889566、7521378和8575040描述了使用可流动化学气相沉积工艺沉积氧化硅膜的一种方法是气相聚合。使用诸如三甲硅烷基胺(TSA)的化合物沉积含有Si、H和N的低聚物,随后使用臭氧暴露将其氧化成SiOx膜。
美国专利号8846536公开了一种沉积和改性可流动介电膜的方法。通过一个或多个集成工艺,所述可流动介电膜的湿蚀刻速率可以改变至少10倍。
前面提到的专利和专利申请的公开内容通过引用并入本文。
尽管在与可流动化学气相沉积和其他膜沉积工艺有关的领域中存在最新的活动,但问题仍然存在。这些问题之一与膜应力和空隙有关。可流动膜大多在较低温度下沉积,高温和高能量后处理导致高膜应力并在特征中产生空隙。由于低工艺温度下的低膜质量,降低湿蚀刻速率一直是具有挑战性的。因此,需要提供替代的前体化合物、前体组合或改性技术、或其组合。
发明内容
本文描述的组合物或制剂以及使用其的方法通过在衬底表面的至少一部分上沉积含硅膜来克服现有技术的问题,所述含硅膜在沉积后处理时提供所需的膜性质。本发明的组合物和方法可以提供具有以下特征的含硅膜:i)使用Toho应力工具测量的热固化后约10至约20MPa范围内,以及UV固化后约150至约190MPa范围内的膜拉伸应力,和ii)通过X射线反射率测量的约1.35至约2.10g/cm3范围内的密度。
含硅膜选自氮化硅、碳化硅、氧化硅、碳掺杂氮化硅、氮氧化硅和碳掺杂氮氧化硅膜。在某些实施方式中,衬底包含表面特征。如本文所用,术语“表面特征”是指衬底或部分制造的衬底包含以下中的一者或多者:孔隙、沟槽、浅沟槽隔离(STI)、通孔、凹入特征等。组合物可以是预混组合物、预混合物(在用于沉积工艺之前混合)或原位混合物(在沉积工艺过程中混合)。因此,在本公开中,术语“混合物”、“制剂”和“组合物”是可互换的。
在一个方面中,提供了一种用于沉积含硅膜的方法,所述方法包括:
将包含表面特征的衬底置入反应器中,所述反应器处于-20℃至约400℃范围内的一个或多个温度下;
向所述反应器中引入具有至少一个碳-碳双键或碳-碳三键的第一化合物,其中所述至少一种第一化合物具有式RnSiR1 4-n,其中R选自直链或支链C2至C6烯基、直链或支链C2至C6炔基;R1选自氢和支链C1至C10烷基;且n是选自0、1、2、3的数字;
向所述反应器中引入具有至少一个Si-H键的第二化合物,其中所述至少一种第二化合物选自由下式IIA至IIH和IIIA构成的组:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;且m是选自1、2和3的数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2是直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和支链C1至C10烷基;R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物;
IIG.三甲硅烷基胺化合物或其衍生物;
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;
IIIA.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;在一些实施方式中,R3和R4可以连接以形成环;在其他实施方式中,R3和R4不连接成环;和
将等离子体源提供到所述反应器中以使所述第一和第二化合物至少部分地反应以形成可流动的液体或低聚物,其中所述可流动的液体或低聚物至少部分地填充所述表面特征的一部分。
在一个特定的实施方式中,等离子体源选自但不限于以下:氮等离子体;包含氮和氦的等离子体;包含氮和氩的等离子体;氨等离子体;包含氨和氦的等离子体;包含氨和氩的等离子体;氦等离子体;氩等离子体;氢等离子体;包含氢和氦的等离子体;包含氢和氩的等离子体;包含氨和氢的等离子体;有机胺等离子体;包含氧的等离子体;包含氧和氢的等离子体,及其混合物。
在另一个实施方式中,等离子体源选自但不限于碳源等离子体,包括烃等离子体、包含烃和氦的等离子体、包含烃和氩的等离子体、二氧化碳等离子体、一氧化碳等离子体、包含烃和氢的等离子体、包含烃和氮源的等离子体、包含烃和氧源的等离子体、及其混合物。
在任何上述或替代实施方式中,在约100℃至约1000℃范围内的一个或多个温度下处理可流动的液体或低聚物,以使至少一部分材料致密化。
在一些实施方式中,将热处理后(post thermal treatment)材料暴露于等离子体、红外光、化学处理、电子束或UV光以形成致密膜。
上述步骤定义了本文所述方法的一个循环;并且可以重复该循环,直至获得所需厚度的含硅膜。在该实施方式或其他实施方式中,应当理解,本文所述方法的步骤可以以各种顺序进行,可以依序或同时进行(例如,在另一步骤的至少一部分期间),及其任何组合。供应化合物和其它试剂的各自步骤可以通过改变供应它们的时间段来进行以改变所得含硅膜的化学计量组成。
本发明的一个实施方式涉及用于形成含硅膜的化学前体,其包含至少两种化合物,其中第一化合物包含选自具有至少一个碳-碳双键或C-C三键的化合物中的至少一个成员,其中所述化合物由式RnSiR1 4-n表示,其中R选自直链或支链C2至C6烯基、直链或支链C2至C6炔基;R1选自氢和支链C1至C10烷基;且n是选自0、1、2和3的数字;且第二化合物包含选自下式中的至少一个成员:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;且m是选自1、2和3的数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2是直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物;
IIG.三甲硅烷基胺化合物或其衍生物;
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;和
IIIA.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;在一些实施方式中,R3和R4可以连接以形成环;在其他实施方式中,R3和R4不连接成环。
本发明的另一个实施方式涉及通过本发明方法形成的膜以及具有先前认定的特征的膜。
本发明的各种实施方式可以单独使用或彼此组合使用。
附图说明
图1(a)和图1(b)显示了如实施例1中所述的通过四乙烯基硅烷(TVS)和1,4-二硅杂丁烷的可流动SiC膜的横截面SEM图像。后处理后证实了自下而上、无缝和无空隙的间隙填充。
图2(a)和图2(b)显示了如实施例2中所述的通过四乙烯基硅烷(TVS)和三甲硅烷基胺(TSA)的可流动SiC膜的横截面SEM图像。后处理后证实了自下而上、无缝和无空隙的间隙填充。
图3(a)~(c)显示了如实施例3中所述采用1、2和3个处理循环的截面SEM图像。证实循环过程填充了间隙。
图4显示了横截面SEM,其表明如实施例4中所述通过第一前体四乙烯基硅烷(TVS)和第二前体二异丙基氨基硅烷(DIPAS)的共沉积实现了自下而上、无缝且无空隙的间隙填充。
图5显示了横截面SEM,其表明如实施例5中所述通过第一前体三乙烯基甲基硅烷(TVMS)和第二前体三甲硅烷基胺(TSA)的共沉积实现了自下而上、无缝且无空隙的间隙填充。
图6显示了横截面SEM,其表明如实施例6中所述通过第一前体三乙烯基甲基硅烷(TVMS)和第二前体1,4-二硅杂丁烷(DSB)的共沉积实现了自下而上、无缝且无空隙的间隙填充。
图7显示了横截面SEM,其表明如实施例7中所述通过第一前体四乙烯基硅烷(TVS)和第二前体N,N'-二甲硅烷基三硅氮烷的共沉积实现了自下而上、无缝且无空隙的间隙填充。
具体实施方式
本文所述的方法和化合物包含至少两种硅前体化合物:至少一种第一化合物和至少一种第二化合物。
本文描述了包含至少两种前体化合物的组合物和使用其以通过化学气相沉积(CVD)工艺在具有表面特征的衬底的至少一部分上沉积可流动的膜的方法。通过例如可流动化学沉积处理沉积的膜由于低的工艺温度而在后处理期间经历膜收缩。由于显著的膜收缩和膜应力的增加,膜中可形成空隙和接缝。因此,在不增加膜应力或产生空隙的情况下使膜致密化具有挑战性。本文所述的组合物和方法通过使用至少两种前体化合物的共沉积来改善衬底上的至少一部分表面特征的填充,从而克服了这些问题。尽管不受理论束缚,但据信通过使用含有不饱和碳键的第一化合物可以产生增加量的交联,所述不饱和碳键断裂并且至少部分地与具有至少一个Si-H键的第二化合物反应。反应可在沉积步骤、处理步骤、或者沉积和处理步骤二者期间发生。因此,对交联的改善减少了处理步骤(例如,热退火和/或UV固化)期间可产生的间隙填充中的空隙。
本文所述的方法和化合物包含至少两种硅前体化合物:具有不饱和碳键(例如,碳-碳双键或碳-碳三键)的至少一种第一化合物和具有至少一个Si-H键的至少一种第二化合物。
第一化合物是具有至少一个碳-碳双键或C-C三键的化合物,其中所述化合物由式RnSiR1 4-n表示,其中R选自直链或支链C2至C6烯基、直链或支链C2至C6炔基;R1选自氢和支链C1至C10烷基;且n是选自0、1、2和3的数字。式I的示例性化合物包括但不限于以下:
第二化合物是包含至少一个Si-H键的化合物,并且是选自以下式IIA至IIH和IIIA的至少一种:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;且m是选自1、2和3的数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2是直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物;
IIG.三甲硅烷基胺化合物或其衍生物;
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;
IIIA.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;在一些实施方式中,R3和R4可以连接以形成环;在其他实施方式中,R3和R4不连接成环。
式IIA的示例性化合物包括但不限于乙硅烷、丙硅烷、丁硅烷、戊硅烷、己硅烷及其组合。
式IIB的示例性化合物包括但不限于以下:
式IIC的示例性化合物包括但不限于以下:
式IID的示例性化合物包括但不限于以下:
式IIE的示例性化合物包括但不限于以下:
式IIF的示例性化合物包括但不限于以下:
式IIG的示例性化合物包括但不限于以下:
式IIH的示例性化合物包括但不限于以下:
式IIIA的示例性化合物包括但不限于以下:
在上式及整个说明书中,术语“直链或支链烷基”指具有1至10个、3至10个或1至6个碳原子的直链官能团。在上式及整个说明书中,术语“支链烷基”指具有3至10个或1至6个碳原子的直链官能团。示例性的直链或支链烷基基团包括但不限于甲基(Me)、乙基(Et)、异丙基(Pri)、异丁基(Bui)、仲丁基(Bus)、叔丁基(But)、异戊基、叔戊基(am)、异己基和新己基。在某些实施方式中,烷基基团可具有连接到其的一个或多个官能团,例如但不限于烷氧基基团、二烷基氨基基团或其组合。在其他实施方式中,烷基基团不具有连接到其的一个或多个官能团。烷基基团可以是饱和的或者不饱和的。
在上式及整个说明书中,术语“环状烷基”是指具有3至10个或5至10个原子的环状基团。示例性的环状烷基基团包括但不限于环丁基、环戊基、环己基和环辛基基团。在某些实施方式中,环状烷基基团可具有一个或多个C1至C10直链、支链取代基或者含有氧或氮原子的取代基。在这个或其他实施方式中,环状烷基基团可具有一个或多个直链或支链烷基或烷氧基基团作为取代基,例如甲基环己基基团或甲氧基环己基基团。
在上式及整个说明书中,术语“芳基”是指具有3至10个碳原子、5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性的芳基基团包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在上式及整个说明书中,术语“烯基基团”是指具有一个或多个碳-碳双键并具有2至12个、2至10个或2至6个碳原子的基团。示例性的烯基基团包括但不限于乙烯基或烯丙基基团。
术语“炔基基团”是指具有一个或多个碳-碳三键并具有2至12个或2至6个碳原子的基团。
术语“亚烷基基团”是指通过除去两个氢原子而衍生自烷基的基团。示例性的亚烷基基团包括但不限于亚甲基(-CH2-)或亚乙基(-CH2CH2-)基团。
在上式及整个说明书中,如本文所用的术语“不饱和的”是指该官能团、取代基、环或桥具有一个或多个碳双键或三键。不饱和环的实例可为但不限于芳族环如苯环。术语“饱和的”是指该官能团、取代基、环或桥不具有一个或多个双键或三键。
在某些实施方式中,式中的一个或多个烷基基团、烯基基团、炔基基团、芳基基团和/或环状烷基基团可以是“取代的”或具有一个或多个取代例如氢原子的原子或原子团。示例性的取代基包括但不限于氧、硫、卤素原子(例如,F、Cl、I或Br)、氮、烷基基团和磷。在其他实施方式中,式中的一个或多个烷基基团、烯基基团、炔基基团、芳族和/或芳基基团可以是未取代的。
本发明的第一和第二前体的比率可以在约0:5至约2:1的范围内,并且将根据所选择的第一和第二前体而变化。在本发明的一个方面中,增加TVS与TSA的比率可用于减少空隙(或增加密度)。
本文描述的硅前体化合物可以以各种方式递送至反应室如CVD或ALD反应器。在一个实施方式中,可采用液体递送系统。在一个替代的实施方式中,可采用组合的液体递送和闪蒸工艺单元,例如MSP Corporation(Shoreview,MN)所制造的涡轮蒸发器,以使得低挥发性物质能够按体积递送,这会带来可重复的输送和沉积而无前体的热分解。在液体递送制剂中,本文描述的前体可以以纯液体形式递送,或者可用于溶剂制剂中或包含其的组合物中。因此,在某些实施方式中,前体制剂可包含具有合适特性的一种或多种溶剂组分,所述溶剂组分在于衬底上形成膜的给定最终用途应用中可能是理想和有利的。合适的溶剂的实例可包括选自非极性烷烃基溶剂如环己烷和环己酮中的至少一个成员。
硅前体化合物优选基本上不含卤离子如氯离子或金属离子如Al。如本文所用,关于卤离子(或卤化物)如氯离子和氟离子、溴离子、碘离子、Al3+离子、Fe2+、Fe3+、Ni2+、Cr3+的术语“基本上不含”是指低于5ppm(按重量计)、优选低于3ppm、更优选低于1ppm、最优选0ppm。已知氯化物或金属离子充当硅前体的分解催化剂。最终产物中显著水平的氯化物会导致硅前体降解。硅前体的逐渐降解可能直接影响膜沉积过程,使得半导体制造商难以达到膜的规范。另外,货架寿命或稳定性将受硅前体的较高降解速率的负面影响,使得难以保证1-2年的货架寿命。此外,已知硅前体在分解时形成可燃和/或自燃气体如氢和硅烷。因此,硅前体的加速分解会导致与这些可燃和/或自燃气态副产物的形成有关的安全和性能问题。
用于形成本文所述膜或涂层的方法为可流动化学沉积工艺。对于本文公开的方法而言合适的沉积工艺的实例包括但不限于循环可流动化学气相沉积(CFCVD)或等离子体增强可流动化学气相沉积(PEFCVD)。如本文所用,术语“可流动化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以提供可流动的含硅低聚物质,然后在进一步处理时产生固体膜或材料,并且在一些情况下,至少一部分低聚物质包括聚合物质。虽然本文中使用的前体、试剂和源有时可被描述为“气态的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华而在有或没有惰性气体的情况下输送到反应器中。在一些情况下,蒸发的前体可通过等离子体发生器。在一个实施方式中,使用基于等离子体(例如,远程产生或原位)的CVD工艺来沉积膜。如本文所用的术语“反应器”包括但不限于反应室或沉积室。
具有式I和IIA-H的化合物前体可以多种方式递送至可流动化学气相沉积反应器,包括但不限于蒸汽抽吸、鼓泡或直接液体注入(DLI)。在一个实施方式中,可采用液体递送系统。在另一个实施方式中,反应器可配备双增压喷头以保持远程产生的等离子体物质与前体的蒸气分离,直至它们在反应器中结合以沉积可流动的液体。在一个替代的实施方式中,可采用组合的液体递送和闪蒸工艺单元,例如MSP Corporation(Shoreview,MN)所制造的涡轮蒸发器,以使得低挥发性物质能够按体积递送,这会带来可重复的输送和沉积而无前体的热分解。在液体递送制剂中,本文描述的前体可以以纯液体形式递送,或者可用于溶剂制剂中或包含其的组合物中。因此,在某些实施方式中,前体制剂可包含具有合适特性的一种或多种溶剂组分,所述溶剂组分在于衬底上形成膜的给定最终用途应用中可能是理想和有利的。在一些实施方式中,具有式I和IIA-H的两种前体可以采用不同的注入口递送以避免在进入反应室之前两种前体之间的反应。在另一个实施方式中,如果具有式I和IIA-H的两种或更多种前体是相容的,则可以将它们预混合,然后使用DLI方法递送到反应室中。
在某些实施方式中,可使衬底暴露于一种或多种预沉积处理(例如但不限于等离子体处理、热处理、化学处理、紫外光暴露、电子束暴露及其组合)以影响膜的一种或多种性质。这些预沉积处理可在选自惰性、氧化性和/或还原性的气氛下进行。
向化合物、含氮源、氧源、其他前体或其组合中的至少一种施加能量以诱导反应并在衬底上形成含硅膜或涂层。这样的能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X-射线、电子束、光子、远程等离子体方法及其组合来提供。在某些实施方式中,可使用次级射频源来改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可包括其中等离子体在反应器中直接发生的直接等离子体发生过程,或者其中等离子体在反应器外发生并供给到反应器中的远程等离子体发生过程。
如前面所提到的,所述方法在包含表面特征的衬底表面的至少一部分上沉积膜。将衬底置入反应器中并使衬底保持在约-20℃至约400℃范围内的一个或多个温度下。在一个特别的实施方式中,衬底的温度低于室壁。将衬底温度保持在低于100℃的温度下、优选低于25℃的温度下、最优选低于10℃并高于-20℃下。
如前面所提到的,衬底包含一个或多个表面特征。在一个特别的实施方式中,表面特征具有的宽度为宽1μm或更小、或宽500nm或更小、或宽50nm或更小、或宽10nm。在这个或其他实施方式中,如果存在的话,表面特征的纵横比(深度与宽度比)为0.1:1或更大、或1:1或更大、或10:1或更大、或20:1或更大、或40:1或更大。衬底可以是单晶硅晶片、碳化硅晶片、氧化铝(蓝宝石)晶片、玻璃板、金属箔、有机聚合物膜,或者可以是聚合物、玻璃、硅或金属三维制品。衬底可包覆有本领域公知的各种材料,包括氧化硅、氮化硅、无定形碳、碳氧化硅、氮氧化硅、碳化硅、砷化镓、氮化镓等的膜。这些涂层可完全包覆衬底,可以是多种材料的多层,并且可经部分蚀刻以暴露下面的材料层。表面上还可具有光刻胶材料,其已经曝光形成图案并显影以部分包覆衬底。
在本发明的一个方面中,衬底包含选自Si、SiOx、SiN、SiGe、SiOC和SiON中的至少一个成员。在本发明的另一方面中,本发明的含硅膜可用作硬掩模并为光刻胶提供蚀刻选择性。在本发明的另一方面中,本发明的含硅膜用作导电材料之间的介电膜、用作导电介质和其它电介质之间的屏障,或用作夹层电介质内的膜。
在某些实施方式中,反应器处在低于大气压或750托(105帕斯卡(Pa))或更低、或100托(13332Pa)或更低的压力下。在其他实施方式中,反应器的压力保持在约0.1托(13Pa)至约10托(1333Pa)的范围下。
在一个特别的实施方式中,其中向反应器中引入至少一种化合物和等离子体的引入步骤在-20至约400℃、或-20至约100℃、或-20至约40℃范围内的一个或多个温度下进行。在这些或其他实施方案中,衬底包括包含表面特征的半导体衬底。包含氮的等离子体可选自氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体,有机胺等离子体及其混合物。所述至少一种化合物和氮源在所述表面特征和衬底的至少一部分上反应并形成氮化硅膜(其为非化学计量的)或碳氮化硅膜。如本文所用的术语“有机胺”描述了具有至少一个氮原子的有机化合物。有机胺的实例为但不限于,甲胺、乙胺、丙胺、异丙胺、叔丁胺、仲丁胺、叔戊胺、乙二胺、二甲胺、三甲胺、二乙胺、吡咯、2,6-二甲基哌啶、二正丙胺、二异丙胺、乙基甲基胺、N-甲基苯胺、吡啶和三乙胺。
在另一个实施方式中,等离子体源选自但不限于碳源等离子体,包括烃等离子体、包含烃和氦的等离子体、包含烃和氩的等离子体、二氧化碳等离子体、一氧化碳等离子体、包含烃和氢的等离子体、包含烃和氮源的等离子体、包含烃和氧源的等离子体、及其混合物。所述至少一种化合物和碳源在所述表面特征和衬底的至少一部分上反应并形成碳化硅膜(其为非化学计量的)、或碳氮化硅膜、或氮氧化硅膜、或碳氧化硅膜、或氧碳氮化硅膜。
在不同的实施方式中,等离子体源选自但不限于氢等离子体、氦等离子体、氩等离子体、氙等离子体及其混合物。所述至少一种化合物和等离子体在所述表面特征和衬底的至少一部分上反应并形成氮化硅膜、或碳化硅膜、或碳氮化硅膜。
在某些实施方式中,在沉积含硅膜之后,在足以使氮化硅膜形成氧化硅或氮氧化硅或碳掺杂氧化硅膜的某些工艺条件下,任选地用含氧源处理衬底。所述含氧源可选自水(H2O)、氧气(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
在某些实施方式中,在约100℃至约1000℃范围内的一个或多个温度下处理可流动的液体或低聚物,以使至少一部分材料致密化。
在一些实施方式中,将热处理后材料暴露于等离子体、红外光、化学处理、电子束或UV光以形成致密膜。
上述步骤定义了本文所述方法的一个循环;并且可以重复该循环,直至获得所需厚度的含硅膜。在这个或其他实施方式中,应当理解,本文所述方法的步骤可以以各种顺序进行,可以依序或同时进行(例如,在另一步骤的至少一部分期间),及其任何组合。供应化合物和其它试剂的各自步骤可以通过改变供应它们的时间段来进行以改变所得含硅膜的化学计量组成。
在一个方面中,提供了一种用于沉积含硅膜的方法,所述方法包括:
将包含表面特征的衬底放入反应器中,所述反应器处于-20℃至约400℃范围内的一个或多个温度下;
向所述反应器中引入具有至少一个碳-碳双键或碳-碳三键的至少一种第一化合物,其中所述第一化合物具有式RnSiR1 4-n,其中R选自直链或支链C2至C6烯基、直链或支链C2至C6炔基;R1选自氢和直链或支链C1至C10烷基;且n是选自0、1、2和3的数字;
向所述反应器中引入具有至少一个Si-H键的第二化合物,其中所述至少一种第二化合物选自由下式IIA至IIH和IIIA构成的组:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;且m是选自1、2和3的数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2是直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物;
IIG.三甲硅烷基胺化合物或其衍生物;和
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;和
IIIA.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基。
将等离子体源提供到所述反应器中以使所述第一和第二化合物至少部分地反应以形成可流动的液体或低聚物,其中所述可流动的液体或低聚物至少部分地填充所述表面特征的一部分。上述步骤定义了本文所述方法的一个循环;并且可以重复该循环,直至获得所需厚度的含硅膜。在这个或其他实施方式中,应当理解,本文所述方法的步骤可以以各种顺序进行,可以依序或同时进行(例如,在另一步骤的至少一部分期间),及其任何组合。供应化合物和其它试剂的各自步骤可以通过改变供应它们的时间段来进行以改变所得含硅膜的化学计量组成。
包含氮的等离子体可选自氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体,有机胺等离子体及其混合物。
在另一个实施方式中,等离子体源选自但不限于碳源等离子体,包括烃等离子体、包含烃和氦的等离子体、包含烃和氩的等离子体、二氧化碳等离子体、一氧化碳等离子体、包含烃和氢的等离子体、包含烃和氮源的等离子体、包含烃和氧源的等离子体、及其混合物。
在任何上述或替代实施方式中,等离子体源选自但不限于氢等离子体、氦等离子体、氩等离子体、氙等离子体及其混合物。所述至少一种化合物和等离子体在所述表面特征和衬底的至少一部分上反应并形成氮化硅膜、或碳化硅膜、或碳氮化硅膜。
在某些实施方式中,在沉积含硅膜之后,在足以使氮化硅膜形成氧化硅或氮氧化硅或碳掺杂氧化硅膜的某些工艺条件下,任选地用含氧源处理衬底。所述含氧源可选自水(H2O)、氧气(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
在任何上述或替代实施方式中,在约100℃至约1000℃范围内的一个或多个温度下处理可流动的液体或低聚物,以使至少一部分材料致密化。
在一些实施方式中,将热处理后材料暴露于等离子体、红外光、化学处理、电子束或UV光以形成致密膜。在本发明的一个实施方式中,包括暴露于UV光暴露的后处理在一定条件下进行以释放乙烯和硅烷气体副产物。
提供以下实施例以说明本发明的某些实施方式,而不应限制随附的权利要求书的范围。
实施例
向中等电阻率(8-12Ωcm)单晶硅晶片衬底和Si图案晶片上沉积可流动化学气相沉积(FCVD)膜。在某些实例中,所得的含硅膜或涂层可暴露于预沉积处理(例如但不限于等离子体处理、热处理、化学处理、紫外线暴露、红外暴露、电子束暴露、和/或其它处理)以影响膜的一种或多种特性。
可以使用硅烷或TEOS工艺套件在Applied Materials Precision 5000系统上改进的FCVD室上进行沉积。该室具有直接液体注入(DLI)递送能力。前体为液体,递送温度取决于前体的沸点。为了沉积初始的可流动氮化物膜,典型的液体前体流速为100-5000mg/min,原位等离子体功率密度为0.25-3.5W/cm2,压力为约0.75-12托。为了使所沉积的可流动膜致密化,使用改进的PECVD室在100~1000℃,优选300~400℃下,在真空中对膜进行热退火和/或UV固化。通过SCI反射计或Woollam椭圆偏振仪测量厚度和在632nm下的折射率(RI)。典型的膜厚范围为10至2000nm。通过Nicolet透射傅里叶变换红外光谱(FTIR)工具测量和分析硅基膜的键合性质和氢含量(Si-H、C-H和N-H)。所有密度测量使用X-射线反射(XRR)完成。进行X-射线光电子能谱(XPS)和二次离子质谱法(SIMS)分析来确定膜的元素组成。使用Hitachi S-4700系统在2.0nm的分辨率下通过横截面扫描电子显微镜(SEM)观察图案化晶片上的流动性和间隙填充效果。
使用实验设计(DOE)方法进行可流动CVD沉积。实验设计包括:100至5000mg/min、优选500至2000mg/min的两种前体流量;0sccm至1000sccm、优选0至500sccm的NH3流量;0.75至12托、优选6至10托的压力;50至1000W、优选100至500W的RF功率(13.56MHz);0至100W的低频(LF)功率;以及-20至400℃、优选-20至40℃范围内的沉积温度。
实施例1:使用四乙烯基硅烷(TVS,式I)和1,4-二硅杂丁烷(DSB,式IID)沉积可流动的碳化硅膜
在该实验中,用于沉积具有最有利的膜性质的可流动碳化硅(SiC)膜的工艺条件如下:功率=150W,间距=250密耳,压力=8托,温度=35~40℃,TVS=500mg/min,1,4-二硅杂丁烷=750mg/min,He=200sccm。将可流动膜在300℃下热退火5分钟,然后在400℃下UV固化1、3、5和10分钟。折射率和膜密度随着UV处理时间而增加。横截面SEM表明,通过前体化合物TVS和1,4-二硅杂丁烷的共沉积实现了自下而上、无缝和无空隙的间隙填充,如图1(a)和图1(b)中所示。将该膜在300℃下热退火5分钟并在400℃下UV固化1分钟。可流动的SiC膜通过环境暴露显示出良好的稳定性。通过检测膜厚度的变化(例如,通过折射率测量)来测量稳定性,其中稳定性差的膜在暴露于环境湿度和氧气水平时通过被氧化而具有减小的厚度。厚度或折射率没有变化。
实施例2:使用四乙烯基硅烷(TVS,式I)和三甲硅烷基胺(TSA,式IIG)沉积可流动的碳氮化硅膜
在本实验中,用于沉积具有最有利的膜性质的可流动碳氮化硅(SiCN)膜的工艺条件如下:功率=200W,间距=300密耳,压力=6托,温度=30℃,TVS=1700mg/min,TSA=1000mg/min,He=200sccm,NH3=450sccm。将可流动膜在300℃下热退火5分钟,然后在400℃下UV固化1、3、5和10分钟。折射率和膜密度随着UV时间而增加。横截面SEM表明,通过第一前体TVS和第二前体TSA的共沉积实现了自下而上、无缝和无空隙的间隙填充,如图2(a)和图2(b)中所示。将该膜在300℃下热退火5分钟并在400℃下UV固化10分钟。可流动的SiCN膜通过环境暴露显示出良好的稳定性。厚度或折射率没有变化。还通过将膜浸入稀HF溶液中来测试WER。可流动的SiCN膜显示出HF溶液的耐蚀刻性。
实施例3:使用四乙烯基硅烷(TVS,式I)和三甲硅烷基胺(TSA,式IIG)通过循环过程沉积可流动的碳氮化硅膜
本实验使用实施例2中的沉积条件。沉积时间从10秒减少到1.5秒。图3(a)~3(c)显示了1、2和3个处理循环后的间隙填充效果。在每个循环中,将膜沉积,在300℃下热退火5分钟,并在400℃下UV固化10分钟。一个处理循环可以填充80%~90%的间隙,如图3(a)中所示。通过3个处理循环,间隙被完全填充,并且一层膜开始在结构的顶部生长。
实施例4:使用四乙烯基硅烷(TVS,式I)和二异丙基氨基硅烷(DIPAS,式IIE)沉积可流动的碳氮化硅膜
在本实验中,将TVS和DIPAS共沉积用于可流动的SiCN膜。有利的沉积条件包括:功率=200~400W,间距=300密耳,压力=6托,温度=25~30℃,TVS=1000mg/min,DIPAS=1000~1500mg/min,He=200sccm,NH3=450~1000sccm。在这些条件下沉积湿且可流动的膜。然后将膜在300℃下热退火5分钟。横截面SEM表明,通过第一前体TVS和第二前体DIPAS的共沉积实现了自下而上、无缝和无空隙的间隙填充,如图4中所示。
实施例5:使用三乙烯基甲基硅烷(TVMS,式I)和三甲硅烷基胺(TSA,式IIG)沉积可流动的碳氮化硅膜
在本实验中,将TVMS和TSA共沉积用于可流动的SiCN膜。有利的沉积条件包括:功率=200W,间距=300密耳,压力=6托,温度=25-30℃,TSA=1000mg/min,TVMS=1000~1500mg/min,He=200sccm,NH3=600~1200sccm。在这些条件下沉积湿且可流动的膜。然后将膜在300℃下热退火5分钟并在400℃下UV固化10分钟。横截面SEM表明,通过第一前体TVMS和第二前体TSA的共沉积实现了自下而上、无缝和无空隙的间隙填充,如图5中所示。用TVMS中的甲基取代TVS中的乙烯基没有损害流动性或间隙填充效果。然而,在未形成交联的情况下,由TVMS和TSA沉积的膜的密度低于通过TVS+TSA沉积的膜。
实施例6:使用三乙烯基甲基硅烷(TVMS,式I)和1,4-二硅杂丁烷(DSB,式IIC)沉积可流动的碳氮化硅膜
在本实验中,将TVMS和DSB共沉积用于可流动的SiC膜。有利的沉积条件包括:功率=200~400W,间距=300密耳,压力=6托,温度=25~30℃,DSB=1000mg/min,TVMS=1000~1500mg/min,He=200sccm,NH3=600~1200sccm。在这些条件下沉积湿且可流动的膜。然后将膜在300℃下热退火5分钟。横截面SEM表明,通过第一前体TVMS和第二前体DSB的共沉积实现了自下而上、无缝和无空隙的间隙填充,如图6中所示。
实施例7:使用四乙烯基硅烷(TVS,式I)和N,N'-二甲硅烷基三硅氮烷(式IIG)沉积可流动的碳氮化硅膜
在本实验中,将TVS和TSA二聚体共沉积用于可流动的SiCN膜。有利的沉积条件包括:功率=150~300W,间距=200~400密耳,压力=6托,温度=25~30℃,TSA二聚体=1000~1500mg/min,TVS=900~1300mg/min,He=200sccm,NH3=600~1200sccm。在这些条件下沉积湿且可流动的膜。然后将膜在300℃下热退火5分钟并在400℃下UV固化10分钟。横截面SEM表明,通过第一前体TVS和第二前体TSA二聚体的共沉积实现了自下而上、无缝和无空隙的间隙填充,如图7中所示。与TVS和TSA的组合相比,TVS和TSA二聚体的组合表现出更高的膜密度(>1.8g/cm3),更高的N含量(18-19%)和更低的C含量(36-37%)。
实施例8:使用四乙烯基硅烷(TVS,式I)和二异丙基氨基乙硅烷(DIPADS,式IIIA)沉积可流动的碳氮化硅膜
在本实验中,将TVS和DIPADS共沉积用于可流动的SiCN膜。有利的沉积条件包括:功率=200~400W,间距=300密耳,压力=6托,温度=25~30℃,TVS=1000~1500mg/min,DIPADS=1000~1500mg/min,He=200sccm,NH3=500~1000sccm。在这些条件下沉积湿且可流动的膜。然后将膜在300℃下热退火5分钟并在400℃下UV固化10分钟。横截面SEM表明,通过第一前体TVS和第二前体DIPADS的共沉积实现了自下而上、无缝且无空隙的间隙填充。
虽然已结合各方面或实施方式描述了本发明的某些原理,但是应当清楚地理解,该描述仅通过示例的方式进行,而不是作为对本发明范围的限制。

Claims (24)

1.一种用于在可流动化学气相沉积工艺中沉积含硅膜的方法,所述方法包括:
将包含表面特征的衬底置入反应器中,所述反应器处于-20℃至约400℃范围内的一个或多个温度下;
向所述反应器中引入具有至少一个碳-碳双键或碳-碳三键的第一化合物,其中所述第一化合物具有式RnSiR1 4-n,其中R选自直链或支链C2至C6烯基、直链或支链C2至C6炔基;R1选自氢和支链C1至C10烷基;且n是选自0、1、2和3的数字;
向所述反应器中引入具有至少一个Si-H键的第二化合物,其中所述至少一种第二化合物选自由下式IIA至IIH构成的组:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;且m是选自1、2和3的数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2是直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物;
IIG.三甲硅烷基胺化合物或其衍生物;和
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;和
IIIA.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基。
2.根据权利要求1所述的方法,其中所述提供步骤包括含氮的等离子体源,其选自氮等离子体、包含氮和氢的等离子体、包含氮和氦的等离子体、包含氮和氩的等离子体、氨等离子体、包含氨和氦的等离子体、包含氨和氩的等离子体、包含氨和氮的等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。
3.根据权利要求1所述的方法,其中所述提供步骤包括等离子体源,其选自但不限于碳源等离子体,包括烃等离子体、包含烃和氦的等离子体、包含烃和氩的等离子体、二氧化碳等离子体、一氧化碳等离子体、包含烃和氢的等离子体、包含烃和氮源的等离子体、包含烃和氧源的等离子体及其混合物。
4.根据权利要求1所述的方法,其中所述等离子体源选自但不限于氢等离子体、氦等离子体、氩等离子体,氙等离子体及其混合物。
5.根据权利要求1所述的方法,其中所述提供步骤包括含氧的等离子体源,其选自水(H2O)等离子体、氧等离子体、臭氧(O3)等离子体、NO等离子体、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
6.根据权利要求1所述的方法,其还包括在约100℃至约1000℃范围内的一个或多个温度下处理可流动液体以使至少一部分材料致密化。
7.根据权利要求1所述的方法,其中将热处理后材料暴露于等离子体、红外光、化学处理、电子束或UV光以形成致密膜。
8.根据权利要求1所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体是原位产生的。
9.根据权利要求1所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体是远程产生的。
10.根据权利要求1所述的方法,其中所述反应器的压力保持在100托或更低。
11.根据权利要求1所述的方法,其中所述含硅膜选自碳化硅、氮化硅、氧化硅、碳掺杂氮化硅、碳掺杂氧化硅、氮氧化硅和碳掺杂氮氧化硅膜。
12.用于形成含硅膜的化学前体,其包含至少两种化合物,其中第一化合物包含选自具有至少一个碳-碳双键或C-C三键的化合物中的至少一个成员,其中所述化合物由式RnSiR1 4-n表示,其中R选自直链或支链C2至C6烯基、直链或支链C2至C6炔基;R1选自氢和支链C1至C10烷基;且n是选自0、1、2和3的数字;且第二化合物包含选自下式中的至少一个成员:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;且m是选自1、2和3的数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2是直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物;
IIG.三甲硅烷基胺化合物或其衍生物;
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;和
IIIA.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;且R4选自直链或支链C1至C10烷基;在一些实施方式中,R3和R4可以连接以形成环;在其他实施方式中,R3和R4不连接成环。
13.根据权利要求12所述的前体,其中所述第一化合物包含选自式I的示例性化合物中的至少一个成员,所述式I的示例性化合物包括但不限于以下:
14.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:乙硅烷、丙硅烷、丁硅烷、戊硅烷、己硅烷及其组合。
15.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
16.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
17.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
18.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
19.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
20.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
21.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
22.根据权利要求12所述的前体,其中所述第二化合物包含选自以下中的至少一个成员:
23.通过根据权利要求1所述的方法获得的膜。
24.在衬底的至少一部分上的含硅膜,其中所述膜具有至少一种以下特征:i)UV固化后约150至约190MPa范围内的膜拉伸应力,和ii)约1.35至约2.10g/cm3范围内的密度。
CN201780018637.5A 2016-02-26 2017-02-22 组合物和使用其沉积含硅膜的方法 Active CN109072426B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111363990.1A CN114231947A (zh) 2016-02-26 2017-02-22 组合物和使用其沉积含硅膜的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662300312P 2016-02-26 2016-02-26
US62/300,312 2016-02-26
PCT/US2017/018873 WO2017147150A1 (en) 2016-02-26 2017-02-22 Compositions and methods using same for deposition of silicon-containing film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202111363990.1A Division CN114231947A (zh) 2016-02-26 2017-02-22 组合物和使用其沉积含硅膜的方法

Publications (2)

Publication Number Publication Date
CN109072426A true CN109072426A (zh) 2018-12-21
CN109072426B CN109072426B (zh) 2021-12-03

Family

ID=59685564

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780018637.5A Active CN109072426B (zh) 2016-02-26 2017-02-22 组合物和使用其沉积含硅膜的方法
CN202111363990.1A Pending CN114231947A (zh) 2016-02-26 2017-02-22 组合物和使用其沉积含硅膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202111363990.1A Pending CN114231947A (zh) 2016-02-26 2017-02-22 组合物和使用其沉积含硅膜的方法

Country Status (9)

Country Link
US (1) US20190055645A1 (zh)
EP (1) EP3420117B1 (zh)
JP (1) JP6777754B2 (zh)
KR (2) KR102482618B1 (zh)
CN (2) CN109072426B (zh)
IL (1) IL261283A (zh)
SG (1) SG11201807211XA (zh)
TW (2) TWI715732B (zh)
WO (1) WO2017147150A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6824717B2 (ja) * 2016-12-09 2021-02-03 東京エレクトロン株式会社 SiC膜の成膜方法
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
JP7025534B2 (ja) * 2017-09-14 2022-02-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シリコン含有膜堆積用の組成物及び方法
CN111108581A (zh) * 2017-09-21 2020-05-05 应用材料公司 高深宽比沉积
JP6787875B2 (ja) 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US11373866B2 (en) * 2018-06-29 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric material and methods of forming same
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP2020136387A (ja) * 2019-02-15 2020-08-31 東京エレクトロン株式会社 成膜方法、成膜処理用の処理容器のクリーニング方法及び成膜装置
US20220234903A1 (en) * 2019-05-24 2022-07-28 Versum Materials Us, Llc Organosilicon precursors for deposition of silicon-containing films
JP7246284B2 (ja) * 2019-08-15 2023-03-27 東京エレクトロン株式会社 成膜方法
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
KR20230158472A (ko) * 2021-03-22 2023-11-20 가부시키가이샤 코쿠사이 엘렉트릭 처리 방법, 반도체 장치의 제조 방법, 처리 장치 및 프로그램
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
KR20230014059A (ko) * 2021-07-20 2023-01-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 카바이드 층을 포함한 구조체를 형성하는 방법

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1732288A (zh) * 2002-12-20 2006-02-08 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US20080251926A1 (en) * 2005-02-18 2008-10-16 Nec Corporation Method of Fabricating Organic Silicon Film, Semiconductor Device Including the Same, and Method of Fabricating the Semiconductor Device
CN101802984A (zh) * 2007-10-22 2010-08-11 应用材料股份有限公司 在基板上形成氧化硅层的方法
CN102534548A (zh) * 2010-12-09 2012-07-04 气体产品与化学公司 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷
CN102827198A (zh) * 2011-05-24 2012-12-19 气体产品与化学公司 有机氨基硅烷前体及其制备和使用方法
CN103311119A (zh) * 2012-03-16 2013-09-18 气体产品与化学公司 有机硅烷溶胶-凝胶反应的催化剂合成
CN101275219B (zh) * 2006-11-28 2013-10-30 气体产品与化学公司 用来改变氧化硅和氮化硅膜的介电性能的有机硅烷化合物
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
US20150376211A1 (en) * 2015-03-30 2015-12-31 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-CONTAINING FILM FORMING PRECURSORS AND METHODS OF USING THE SAME

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
JP4479190B2 (ja) * 2003-08-22 2010-06-09 東ソー株式会社 アルケニル基含有有機シラン化合物を含んでなる絶縁膜用材料、それを用いた絶縁膜および半導体デバイス
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
TWI263265B (en) * 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7622162B1 (en) * 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
JP5304983B2 (ja) * 2008-02-12 2013-10-02 Jsr株式会社 ケイ素含有膜形成用組成物
JP5309619B2 (ja) * 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
US20110204382A1 (en) * 2008-05-08 2011-08-25 Base Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US8541053B2 (en) * 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP5731841B2 (ja) * 2011-02-02 2015-06-10 大陽日酸株式会社 シリコン窒化膜の形成方法
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8753985B2 (en) * 2012-01-17 2014-06-17 Applied Materials, Inc. Molecular layer deposition of silicon carbide
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US11626279B2 (en) * 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6206096B2 (ja) * 2013-10-31 2017-10-04 富士通株式会社 半導体装置の製造方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1732288A (zh) * 2002-12-20 2006-02-08 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US20080251926A1 (en) * 2005-02-18 2008-10-16 Nec Corporation Method of Fabricating Organic Silicon Film, Semiconductor Device Including the Same, and Method of Fabricating the Semiconductor Device
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
CN101275219B (zh) * 2006-11-28 2013-10-30 气体产品与化学公司 用来改变氧化硅和氮化硅膜的介电性能的有机硅烷化合物
CN101802984A (zh) * 2007-10-22 2010-08-11 应用材料股份有限公司 在基板上形成氧化硅层的方法
CN102534548A (zh) * 2010-12-09 2012-07-04 气体产品与化学公司 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷
CN102827198A (zh) * 2011-05-24 2012-12-19 气体产品与化学公司 有机氨基硅烷前体及其制备和使用方法
CN103311119A (zh) * 2012-03-16 2013-09-18 气体产品与化学公司 有机硅烷溶胶-凝胶反应的催化剂合成
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
US20150376211A1 (en) * 2015-03-30 2015-12-31 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-CONTAINING FILM FORMING PRECURSORS AND METHODS OF USING THE SAME

Also Published As

Publication number Publication date
KR20180114197A (ko) 2018-10-17
EP3420117A1 (en) 2019-01-02
EP3420117B1 (en) 2024-04-24
TW202113124A (zh) 2021-04-01
EP3420117A4 (en) 2020-01-15
KR20210060654A (ko) 2021-05-26
KR102255727B1 (ko) 2021-05-26
CN114231947A (zh) 2022-03-25
TWI773002B (zh) 2022-08-01
CN109072426B (zh) 2021-12-03
KR102482618B1 (ko) 2022-12-28
TWI715732B (zh) 2021-01-11
US20190055645A1 (en) 2019-02-21
WO2017147150A1 (en) 2017-08-31
IL261283A (en) 2018-10-31
SG11201807211XA (en) 2018-09-27
JP2019507956A (ja) 2019-03-22
JP6777754B2 (ja) 2020-10-28
TW201742947A (zh) 2017-12-16

Similar Documents

Publication Publication Date Title
CN109072426A (zh) 组合物和使用其沉积含硅膜的方法
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
CN107429391A (zh) 组合物和使用所述组合物沉积含硅膜的方法
CN108603287B (zh) 用于沉积含硅膜的组合物及使用其的方法
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
CN110023535A (zh) 用于制造低k膜以填充表面特征的前体和可流动cvd法
JP7125515B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
TWI744957B (zh) 用於沉積含矽膜的組合物及其使用方法
JP7485732B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant