CN102534548A - 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷 - Google Patents

用于CVD和ALD Si02薄膜的氨基乙烯基硅烷 Download PDF

Info

Publication number
CN102534548A
CN102534548A CN2011104239643A CN201110423964A CN102534548A CN 102534548 A CN102534548 A CN 102534548A CN 2011104239643 A CN2011104239643 A CN 2011104239643A CN 201110423964 A CN201110423964 A CN 201110423964A CN 102534548 A CN102534548 A CN 102534548A
Authority
CN
China
Prior art keywords
precursor
reactor drum
ring
oxygen
thiazolinyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011104239643A
Other languages
English (en)
Inventor
萧满超
杨柳
K·S·卡思尔
H·R·鲍恩
韩冰
M·L·奥尼尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to CN201510580613.1A priority Critical patent/CN105239055A/zh
Publication of CN102534548A publication Critical patent/CN102534548A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及使用热CVD工艺、ALD工艺或循环CVD工艺形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的方法,其中硅前体选自以下之一:R1 nR2 mSi(NR3R4)4-n-m;和(R1R2SiNR3)p的环硅氮烷,其中R1是烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;n=1-3,m=0-2,p=3-4。

Description

用于CVD和ALD Si02薄膜的氨基乙烯基硅烷
背景技术
由于其出色的介电性质,二氧化硅、氮化硅及其混合物的薄膜是半导体制造中最常用的一些材料。在硅基半导体器件的制造中,这些材料可以用作栅极绝缘、扩散掩模、侧壁间隔、硬掩模、抗反射涂层、钝化和封装等。硅基薄膜对于其它化合物半导体器件的钝化也变得越来越重要。
当硅基薄膜与湿法蚀刻工艺-一种用于制造硅集成电路的重要和常规的生产工艺-结合使用时,二氧化硅薄膜的湿法蚀刻速率(wetetch rate)对于许多应用是至关重要的。在某些情况下(例如当使用二氧化硅作为侧壁时),在HF溶液中的蚀刻速率需要极慢,因为对材料的过度快和侵蚀性的作用将使得难以控制钻蚀(undercut)和线宽。较慢的、可控制的蚀刻速率对于更好的制造工艺来说是理想的,从而支持较高的半导体器件产率。在使用硅基薄膜作为蚀刻终止层、硬掩模或钝化层的一些其它情况中,希望该材料非常耐湿蚀刻。
现有的形成在HF溶液中具有低蚀刻速率的硅基薄膜的方法是:
(1)在较高温度下沉积薄膜以减少薄膜中的缺陷(诸如多孔性)或氢浓度,或
(2)在沉积工艺过程中除了硅或氮以外向沉积工艺中添加其它前体,以引进其它元素来改变薄膜性质。
由于较高的温度可能不总是合宜的,且使用多种前体可能增加工艺的复杂性,因此希望有控制薄膜性质的替代方法。
该领域的现有技术包括公布的美国专利申请US 2010/0120262和于05/03/2010提交的序号为12/772,518的美国专利申请。
发明内容
本发明涉及形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的低压、热化学气相沉积方法,包括:
a.将提供硅源的第一前体输送至低压、热化学气相沉积反应器,其中该第一前体选自:R1 nR2 mSi(NR3R4)4-n-m和(R1R2SiNR3)p的环硅氮烷,其中R1是烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;且n=1-3,m=0-2,p=3-4;
b.将提供氧源的第二前体输送至该反应器;
c.使第一和第二前体在400℃至700℃的温度和100mT至1T的压力下反应。
本发明还涉及形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的原子层沉积方法,包括:
a.将提供硅源的第一前体输送至原子层沉积反应器,其中第一前体选自:R1 nR2 mSi(NR3R4)4-n-m和(R1R2SiNR3)p的环硅氮烷;其中R1是烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;且n=1-3,m=0-2,p=3-4;
b.用惰性气体吹扫该反应器;
c.将提供氧源的第二前体输送至该反应器;
d.用惰性气体吹扫该反应器;
e.重复(a)-(d)之间的步骤直到达到希望的薄膜厚度。
此外,本发明涉及形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的循环化学气相沉积方法,包括:
a.将提供硅源的第一前体输送至循环化学气相沉积反应器,其中第一前体选自:R1 nR2 mSi(NR3R4)4-n-m和(R1R2SiNR3)p的环硅氮烷;其中R1是烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;且n=1-3,m=0-2,p=3-4;
b.用惰性气体吹扫该反应器0.1-1秒;
c.将提供氧源的第二前体输送至该反应器;
d.用惰性气体吹扫该反应器0.1-1秒;
e.重复(a)-(d)之间的步骤直到达到希望的薄膜厚度。
附图说明
图1是CVD反应器的横截面的示意图。
图2是ALD反应器的横截面的示意图。
图3是低蚀刻SiO2薄膜的X-射线光电子能谱分析(XPS)。
图4是显示根据本发明沉积的总体组成(bulk composition)的深度分布图。
发明详述
在一个方面,本发明公开了一种利用热CVD工艺形成在HF溶液中具有极低湿法蚀刻速率的硅基薄膜的方法。所述方法包括:
a)将基底置于隐藏式反应器(concealed reactor)中,该反应器处于400-700℃的温度下、用Ar或N2气吹扫并保持在低于1托的压力下;
b)向反应器中进料硅前体,该硅前体使用直接液体注射器输送并且具有下列结构之一:
R1 nR2 mSi(NR3R4)4-n-m;和
(R1R2SiNR3)p的环硅氮烷;如:
Figure BSA00000638706000031
其中R1是C2-C10烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基。n=1-3,m=0-2,p=3-4;
c)同时,向反应器中进料氧前体如纯氧或臭氧;
d)在沉积工艺过程中保持100毫托至600毫托的压力。
在另一方面,本发明提供一种利用原子层沉积工艺形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的方法。所述方法包括:
a)将基底置于隐藏式反应器中,该反应器处于100-600℃的温度下、用Ar或N2气吹扫并保持在低于1托的压力下;
b)向反应器中进料硅前体,该硅前体使用直接液体注射器输送并且具有下列结构之一:
R1 nR2 mSi(NR3R4)4-n-m;和
(R1R2SiNR3)p的环硅氮烷;如:
Figure BSA00000638706000041
其中R1是C2-C10烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基。n=1-3,m=0-2,p=3-4;
c)硅前体的用量恰好足以在基底表面上形成前体分子的单层。从反应器中除去过量的前体;
d)再次用Ar或N2吹扫反应器后,向反应器中进料氧前体如纯氧或臭氧;再次,氧前体的用量恰好足以与在上一步过程中形成的基底上的单层硅前体完全反应;
e)重复步骤b)-d)直到达到希望的薄膜厚度。
在另一方面,本发明提供一种利用循环化学气相沉积工艺形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的方法。所述方法包括:
a)将基底置于隐藏式反应器中,该反应器处于400-700℃的温度下、用Ar或N2气吹扫并保持在低于1托的压力下;
b)向反应器中进料硅前体,该硅前体使用直接液体注射器输送并且具有下列结构之一:
R1 nR2 mSi(NR3R4)4-n-m;和
(R1R2SiNR3)p的环硅氮烷;如:
Figure BSA00000638706000051
其中R1是烯基或芳香基,如乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基。n=1-3,m=0-2,p=3-4;
c)调节(增加或减少)硅前体的用量以达到希望的薄膜沉积速率;
d)向反应器中进料氧前体如纯氧或臭氧;再次调节(增加或减少)氧前体的用量以达到希望的薄膜沉积速率;
e)用Ar或N2吹扫反应器;
f)重复步骤b)-e)直到达到希望的薄膜厚度。
氨基乙烯基硅烷前体包括但不限于:双(异丙氨基)乙烯基甲基硅烷(BIPAVNS)、双(异丙氨基)二乙烯基硅烷(BIPADVS)、双(异丙氨基)乙烯基硅烷、双(异丙氨基)烯丙基甲基硅烷、双(异丙氨基)二烯丙基硅烷、双(异丙氨基)烯丙基硅烷、双(叔丁氨基)乙烯基甲基硅烷、双(叔丁氨基)二乙烯基硅烷、双(叔丁氨基)乙烯基硅烷、双(叔丁氨基)烯丙基甲基硅烷、双(叔丁氨基)二烯丙基硅烷、双(叔丁氨基)烯丙基硅烷、双(二乙氨基)乙烯基甲基硅烷、双(二乙氨基)二乙烯基硅烷、双(二乙氨基)乙烯基硅烷、双(二乙氨基)烯丙基甲基硅烷、双(二乙氨基)二烯丙基硅烷、双(二乙氨基)烯丙基硅烷、双(二甲氨基)乙烯基甲基硅烷、双(二甲氨基)二乙烯基硅烷、双(二甲氨基)乙烯基硅烷、双(二甲氨基)烯丙基甲基硅烷、双(二甲氨基)二烯丙基硅烷、双(二甲氨基)烯丙基硅烷、双(甲基乙基氨基)乙烯基甲基硅烷、双(甲基乙基氨基)二乙烯基硅烷、双(甲基乙基氨基)乙烯基硅烷、双(甲基乙基氨基)烯丙基甲基硅烷、双(甲基乙基氨基)二烯丙基硅烷、双(甲基乙基氨基)烯丙基硅烷、二哌啶子基乙烯基甲基硅烷、二哌啶子基二乙烯基硅烷、二哌啶子基乙烯基硅烷、二哌啶子基烯丙基甲基硅烷、二哌啶子基二烯丙基硅烷、二哌啶子基烯丙基硅烷、二吡咯烷基乙烯基甲基硅烷、二吡咯烷基二乙烯基硅烷、二吡咯烷基乙烯基硅烷、二吡咯烷基烯丙基甲基硅烷、二吡咯烷基二烯丙基硅烷、二吡咯烷基烯丙基硅烷、三(异丙氨基)乙烯基硅烷、三(异丙氨基)烯丙基硅烷、三(叔丁氨基)乙烯基硅烷、三(叔丁氨基)烯丙基硅烷、三(二乙氨基)乙烯基硅烷、三(二乙氨基)烯丙基硅烷、三(二甲氨基)乙烯基硅烷、三(二甲氨基)烯丙基硅烷、三(甲基乙基氨基)乙烯基硅烷、三(甲基乙基氨基)烯丙基硅烷、三哌啶子基乙烯基硅烷、三哌啶子基烯丙基硅烷、三吡咯烷基乙烯基硅烷、三吡咯烷基烯丙基硅烷、1,3,5-三乙烯基-1,3,5-三甲基环三硅氮烷、1,3,5-三乙烯基环三硅氮烷、1,1,3,3,5,5-六乙烯基环三硅氮烷、1,3,5-三烯丙基-1,3,5-三甲基环三硅氮烷、1,3,5-三烯丙基环三硅氮烷、1,1,3,3,5,5-六烯丙基环三硅氮烷、1,3,5,7-四乙烯基-1,3,5,7-四甲基环四硅氮烷、1,3,5,7-四乙烯基环四硅氮烷、1,1,3,3,5,5,7,7-八乙烯基环四硅氮烷、1,3,5,7-四烯丙基-1,3,5,7-四甲基环四硅氮烷、1,3,5,7-四烯丙基环四硅氮烷、1,1,3,3,5,5,7,7-八烯丙基环四硅氮烷。
在试验中使用的具体前体是双(异丙氨基)乙烯基甲基硅烷(BIPAVMS)。另一种类似的前体是双(异丙氨基)二乙烯基硅烷(BIPADVS)。
本发明所述的方法能够使用图1所示的低压热壁CVD反应器进行示范。CVD反应器300由周围的加热元件201加热。所有晶片203都加载在晶片支持件202上以确保它们沿CVD反应器的轴放置,使得它们能够在每个晶片内均匀地加热。单次运行中晶片的数目可以多达25个。
在薄膜沉积工艺开始之前,CVD管通过入口103填充惰性气体(例如Ar或N2),然后使用真空泵或作用泵302抽吸至低于1mT的真空水平,并通过消减器(abatement)303排空。然后再次用惰性气体填充CVD反应器,并加热至设定开始沉积的温度。一旦CVD反应器达到设定温度,关闭阀门103A并打开阀门101A和102A以将前体蒸气和反应性气体引入到CVD反应器内。通过改变节流阀301的开放控制CVD反应器的压力。
反应性气体(例如O2)通过入口102流入CVD反应器,并且流速由质量流量控制器(MFC)控制。硅前体为液体形式,并且填注在液体容器101D中。利用高压氦气帮助将液体推入汽化器101B中,汽化器101B加热并汽化该液体。液体前体的流速使用液体流量控制器(LFC)控制。前体蒸气的流速可以通过LFC 101C和汽化器101B的加热温度控制:对于给定的LFC设置,汽化器的温度越高,前体蒸气的流速越大;对于汽化器的给定温度设置,LCF的流速越高,前体蒸气的流速越大。阀门101A开放或停止向CVD反应器的前体蒸气流。
CVD反应器的压力可以为大约0.01T至大约1T。反应性气体(例如O2)的流速可以为5sccm至200sccm。Si前体蒸气的流速可以为5sccm至200sccm。沉积温度与反应器壁温度相同。它可以为500℃至700℃。
对工艺预先设定沉积时间以产生具有希望的厚度的薄膜。沉积速率取决于许多工艺参数,包括:沉积温度、O2的流速、载气(He)的流速、Si前体的液体质量流速、汽化器的温度以及反应器的压力。汽化器温度可以为20℃至150℃。在汽化器温度为55℃时,沉积速率为大约0.5nm/min。
SiO2的沉积速率可以为每分钟0.1nm至10nm。该速率可以通过改变沉积温度、汽化器温度、LFC流速、反应性O2气的流速和CVD反应器的压力来控制。
利用ALD工艺形成均匀的无氮二氧化硅薄膜的方法可以用图2所示的ALD反应器进行示范。ALD反应器A200包括室壁A300和基底支持件A202。两者可以在不同温度下独立加热。在沉积工艺过程中,晶片(或基底)A203A置于A202上。
在薄膜沉积工艺开始之前,ALD反应器A200通过入口A104和阀门A104A填充惰性气体(例如Ar或N2),然后使用真空泵或作用泵A302抽吸至低于1mT的真空水平并排空至消减器A303。然后再次向ALD反应器A200填充惰性气体,且基底支持件A202和反应器壁用加热器A201加热至设定开始沉积的温度。
Si前体从蒸气抽吸装置(vapor draw)A101输送,蒸气抽吸装置A101由围绕Si前体容器A101D的电加热器A101E加热并且在沉积过程中保持在恒定温度下。Si前体流通过高速ALD阀门A101A、质量流速控制器A101B和阀门A101C控制。温度为20℃至100℃。可以通过管线A103和阀门A103A与Si前体同时引入氦气。通过具有加热器A102E的容器A102D,经由控制管线A102的高速ALD阀门A102A以及质量流速控制器A102B和阀门102C输送氧前体。
ALD工艺的流程如下:
·通过关闭阀门A301并打开阀门A101A 0.1-10秒,向反应器A200进料硅前体相同的时间;
·通过关闭阀门A101A并打开阀门A301和A104A 0.5-5秒,吹扫反应器A200相同的时间;
·通过关闭阀门A301并打开阀门A102A 0.1-10秒,将氧进料到反应器A200中相同的时间;
·通过关闭阀门A102a并打开阀门A301 0.5-5秒,吹扫反应器A200相同的时间;
·重复上述循环多次直到达到希望的薄膜厚度。
循环CVD工艺
形成均匀的无氮二氧化硅薄膜的循环CVD工艺也可以如图2所示。相同的ALD反应器可以用于循环CVD工艺。沉积均匀无氮薄膜的循环CVD工艺中的主要不同在于硅前体和氧前体的用量可以大大高于用于ALD的用量,并且因此沉积速度可以大大高于ALD。然而,沉积温度为400-700℃,大大高于用于ALD工艺的温度。
循环CVD工艺的流程如下:
·通过关闭阀门A301并打开阀门A101A 5-20秒,向反应器A200进料硅前体相同的时间;
·通过关闭阀门A101A并打开阀门A301和A104A 0.1-1秒,吹扫反应器A200相同的时间;
·通过关闭阀门A301并打开阀门A102A 5-20秒,向反应器A200进料氧气相同的时间;
·通过关闭阀门A102A并打开阀门A301和A104A 0.1-1秒,吹扫反应器A200相同的时间;
·重复上述循环多次直到达到希望的薄膜厚度。
薄膜厚度和光学性质
氧化物薄膜的厚度和诸如折射率的光学性质的表征使用FilmTek2000SE椭圆偏振计进行。正入射(入射角=0″)时的分光反射数据用于数据拟合。使用的光的波长范围为200nm至900nm。由于当光波长为200nm至4000nm时SiO2的消光系数(k)为零,并且SiO2的散射是众所周知的,因此数据只在高频介电常数上拟合。氧化物薄膜的厚度和折射率可以通过将来自薄膜的反射数据拟合到预设物理模型(例如Lorentz振子模型)来获得。RMSE(均方根误差)用来确定拟合的优度,并且为了使测量结果被认为可靠,该值必须小于1%。
化学组成
薄膜的化学组成的表征通过X-射线光电子能谱法(XPS)完成。X-射线光电子能谱实验在配备有多通道板(MCD)和聚焦Al单色X-射线源的PHI 5000 VersaProbe能谱仪上进行。低分辨率全谱扫描以117.4eV通能、1.000eV/步和50msec/步的停留时间进行。高分辨率多路扫描以23.50eV通能、0.100eV/步和100msec/步的停留时间进行。分析区域的直径为200微米,出射角为45°。使用供应商提供的软件采集数据。利用CasaXPS使用透射函数(transmission function)校正的区域灵敏度因子(ASF)整理数据。所有能谱都被电荷校正为CHX=284.8eV。蚀刻速率相对于203nm SiO2/Si校准并且近似于120A/min。
在稀HF溶液中的蚀刻速率
蚀刻试验在1wt%HF(在去离子(DI)水中)溶液中进行。将薄膜(沉积在Si晶片上)置于HF溶液中30秒,然后在去离子水中冲洗并干燥,之后再次测量蚀刻过程中材料的损失。将两个作为参照的具有已知和一致的蚀刻速率的热氧化硅薄膜与待鉴定和蚀刻的薄膜同时装在同一盒中。该薄膜与参照热氧化物薄膜一起在蚀刻之前和之后使用椭圆偏振计和上述方法在薄膜表面上的9个不同的点处测量其厚度。然后通过厚度减少量除以薄膜浸入HF溶液中的时间计算蚀刻速率。
介电常数
介电常数k由使用MDC 802B-150汞探针测量的C-V曲线计算。它由保持样品并在待测薄膜上形成电接触的探针台、Keithley 236源表和用于C-V测量的HP4284A LCR计组成。具有相对低电阻率(薄层电阻小于0.02ohm-cm)的Si晶片用来沉积用于C-V测量的薄膜。利用前触点模式形成与薄膜的电接触。液体金属(汞)通过细管从储存器推出到晶片表面以形成两个导电接触。基于推出汞的管的直径计算接触面积。然后根据下式计算介电常数:k=电容x接触面积/薄膜的厚度。
实施例1
薄膜的化学组成
高度均匀的无氮薄膜的典型XPS在图3中显示,并且包括氮在内的不同元素的组成也在表1中列出。从图3和表1可以看出,在薄膜中没有检测到明显的氮。
表1.低蚀刻速率二氧化硅薄膜的化学组成(以at%计)
  元素   O   Si   C   N
  浓度   70.4   20.5   7.9   1.2
实施例2
薄膜的厚度和蚀刻速率
利用椭圆偏振计测量使用所述发明形成的二氧化硅薄膜的厚度。该薄膜在1wt%HF溶液中与标准热二氧化硅一起蚀刻。然后从薄膜厚度测量值计算蚀刻速率并与热氧化物的值进行比较。结果在表2中列出。从表2可以看出,低蚀刻速率SiO2(本发明)的湿法蚀刻速率大大低于热氧化物薄膜。
表2.低蚀刻速率SiO2和标准热SiO2的湿法蚀刻速率(A/min)
  材料   15秒蚀刻后  1分钟蚀刻后  2分钟蚀刻后
  热SiO2   43.2   27.6   29.4
  低蚀刻SiO2(本发明)   1.2   1.2   1.2
实施例3
氧化硅沉积
使用ATV PEO612牌低压化学气相沉积(LPCVD)反应器,我们在每轮沉积运行中加载25个100mm Si晶片。在关闭通往反应器的门时,我们启动自动系统程序器以开始工艺。工序在开始时将腔室抽空至约1-5毫托的基础压力大约10分钟。然后该工艺引入氮气吹扫气体(约40-50sccm)并开始使用节流阀控制室压以获得适当的工艺压力(125-500毫托)。该工艺然后使腔室升温至希望的工艺温度(550℃或600℃),这将花费接近30分钟。在达到工艺温度后,使晶片温度稳定30分钟,同时仍然允许氮气吹扫气体流动并且保持工艺压力。在稳定期结束后,关闭氮气吹扫气流,并且前体(双(异丙氨基)乙烯基甲基硅烷)和氧气开始流动,同时仍然保持工艺压力和温度。前体(双(异丙氨基)乙烯基甲基硅烷)流速为大约14-19sccm。氧气流为20sccm或40sccm。在沉积步骤结束后,关闭前体(双(异丙氨基)乙烯基甲基硅烷)和氧气,并且使氮气吹扫气体流动。节流阀设置为打开以允许吹扫腔室的前体(双(异丙氨基)乙烯基甲基硅烷)和氧气。在此时,系统开始将处理室降至约60℃用于卸载晶片。降温通常花费大约60分钟以冷却。在工艺结束时,系统将自动用氮气回填腔室,并且使之升高到大气压,并且可以打开通往腔室的门用于卸载晶片。
使用Rudolph FOCUS自动椭圆偏振计,测量每轮沉积的3-20个晶片。对于每个晶片采用9点测量模式。测量的平均薄膜厚度从
Figure BSA00000638706000121
Figure BSA00000638706000122
不等,其取决于使用的工艺。这意味着沉积速率从
Figure BSA00000638706000123
不等。晶片厚度均匀度从2.0%到6.9%不等。测量的平均折射率从1.4375到1.4828不等。
图4显示二氧化硅薄膜的动态二次离子质谱法(SIMS)深度分布元素分析,其表明薄膜在硅、氧和碳方面具有出色的组成均匀性以及具有极低的氮含量。

Claims (10)

1.一种形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的低压、热化学气相沉积方法,包括:
a.将提供硅源的第一前体输送至低压、热化学气相沉积反应器,其中该第一前体选自:R1 nR2 mSi(NR3R4)4-n-m和(R1R2SiNR3)p的环硅氮烷,其中R1是C2-C10烯基或芳香基,优选选自乙烯基、烯丙基和苯基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;且n=1-3,m=0-2,p=3-4;优选地,所述第一前体为双(异丙氨基)乙烯基甲基硅烷;
b.将提供氧源的第二前体输送至该反应器;
c.使第一和第二前体在400℃至700℃的温度和100mT至1T的压力下反应。
2.权利要求1的方法,其中所述氧前体选自氧气、臭氧及其混合物。
3.一种形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的原子层沉积方法,包括:
a.将提供硅源的第一前体输送至原子层沉积反应器,其中第一前体选自:R1 nR2 mSi(NR3R4)4-n-m和(R1R2SiNR3)p的环硅氮烷;其中R1是C2-C10烯基或芳香基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;且n=1-3,m=0-2,p=3-4;
b.用惰性气体吹扫该反应器;
c.将提供氧源的第二前体输送至该反应器;
d.用惰性气体吹扫该反应器;
e.重复(a)-(d)之间的步骤直到达到希望的薄膜厚度。
4.权利要求3的方法,其中R1选自乙烯基、烯丙基和苯基。
5.权利要求3的方法,其中所述第一前体为双(异丙氨基)乙烯基甲基硅烷。
6.权利要求3-5任一项的方法,其中所述氧前体选自氧气、臭氧及其混合物。
7.一种形成在HF溶液中具有极低湿法蚀刻速率的二氧化硅薄膜的循环化学气相沉积方法,包括:
a.将提供硅源的第一前体输送至循环化学气相沉积反应器,其中第一前体选自:R1 nR2 mSi(NR3R4)4-n-m和(R1R2SiNR3)p的环硅氮烷;其中R1是C2-C10烯基或芳香基;R2、R3和R4选自H,直链、支链或环状C1-C10烷基,直链、支链或环状C2-C10烯基,和芳香基;且n=1-3,m=0-2,p=3-4;
b.用惰性气体吹扫该反应器0.1-1秒;
c.将提供氧源的第二前体输送至该反应器;
d.用惰性气体吹扫该反应器0.1-1秒;
e.重复(a)-(d)之间的步骤直到达到希望的薄膜厚度。
8.权利要求7的方法,其中R1选自乙烯基、烯丙基和苯基。
9.权利要求7的方法,其中所述第一前体为双(异丙氨基)乙烯基甲基硅烷。
10.权利要求7-9任一项的方法,其中所述氧前体选自氧气、臭氧及其混合物。
CN2011104239643A 2010-12-09 2011-12-09 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷 Pending CN102534548A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510580613.1A CN105239055A (zh) 2010-12-09 2011-12-09 用于CVD和ALD SiO2薄膜的氨基乙烯基硅烷

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/964,266 US8460753B2 (en) 2010-12-09 2010-12-09 Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US12/964,266 2010-12-09

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201510580613.1A Division CN105239055A (zh) 2010-12-09 2011-12-09 用于CVD和ALD SiO2薄膜的氨基乙烯基硅烷

Publications (1)

Publication Number Publication Date
CN102534548A true CN102534548A (zh) 2012-07-04

Family

ID=45440145

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510580613.1A Pending CN105239055A (zh) 2010-12-09 2011-12-09 用于CVD和ALD SiO2薄膜的氨基乙烯基硅烷
CN2011104239643A Pending CN102534548A (zh) 2010-12-09 2011-12-09 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510580613.1A Pending CN105239055A (zh) 2010-12-09 2011-12-09 用于CVD和ALD SiO2薄膜的氨基乙烯基硅烷

Country Status (6)

Country Link
US (1) US8460753B2 (zh)
EP (1) EP2463404B1 (zh)
JP (1) JP5357240B2 (zh)
KR (1) KR101404576B1 (zh)
CN (2) CN105239055A (zh)
TW (1) TWI444499B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI602827B (zh) * 2015-02-13 2017-10-21 慧盛材料美國責任有限公司 雙胺基烷氧基矽烷化合物及使用其沉積含矽膜的方法
CN107429391A (zh) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN109072426A (zh) * 2016-02-26 2018-12-21 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
CN112777565A (zh) * 2019-11-05 2021-05-11 中国科学院大连化学物理研究所 一种可抑制逆反应的半导体光催化分解水的方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
WO2014040002A2 (en) 2012-09-10 2014-03-13 Mudd Daniel T Pressure based mass flow controller
KR101993355B1 (ko) 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
KR20210054035A (ko) * 2018-10-04 2021-05-12 버슘머트리얼즈 유에스, 엘엘씨 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물
EP4176100A1 (en) * 2020-07-24 2023-05-10 Versum Materials US, LLC Compositions and methods using same for germanium seed layer
JP2024512898A (ja) 2021-03-03 2024-03-21 アイコール・システムズ・インク マニホールドアセンブリを備える流体流れ制御システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1239155A (zh) * 1998-06-11 1999-12-22 气体产品与化学公司 使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅
CN1449576A (zh) * 2000-08-29 2003-10-15 克拉瑞特国际有限公司 具有低介电常数的多孔硅质膜和半导体装置及涂料组合物
EP2116632A2 (en) * 2008-05-05 2009-11-11 Air Products and Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
TW201034079A (en) * 2009-03-13 2010-09-16 Air Prod & Chem Dielectric films comprising silicon and methods for making same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1352951A (en) * 1971-12-08 1974-05-15 Shell Int Research Electrostatic coating of glass-fibre material
US4863755A (en) * 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
JP3093070B2 (ja) * 1993-01-26 2000-10-03 大阪瓦斯株式会社 Cvd薄膜形成装置
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7312129B2 (en) * 2006-01-25 2007-12-25 Freescale Semiconductor, Inc. Method for producing two gates controlling the same channel
JP5177617B2 (ja) * 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US9337054B2 (en) 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8242029B2 (en) * 2009-11-23 2012-08-14 Asm International N.V. Method for forming a silicon dioxide/metal oxide-nanolaminate with a desired wet etch rate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1239155A (zh) * 1998-06-11 1999-12-22 气体产品与化学公司 使用二(叔丁基氨基)硅烷淀积二氧化硅和氧氮化硅
CN1449576A (zh) * 2000-08-29 2003-10-15 克拉瑞特国际有限公司 具有低介电常数的多孔硅质膜和半导体装置及涂料组合物
EP2116632A2 (en) * 2008-05-05 2009-11-11 Air Products and Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
TW201034079A (en) * 2009-03-13 2010-09-16 Air Prod & Chem Dielectric films comprising silicon and methods for making same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107429391A (zh) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN113025992A (zh) * 2014-10-24 2021-06-25 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN113373428A (zh) * 2014-10-24 2021-09-10 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
CN113025992B (zh) * 2014-10-24 2024-02-02 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
TWI602827B (zh) * 2015-02-13 2017-10-21 慧盛材料美國責任有限公司 雙胺基烷氧基矽烷化合物及使用其沉積含矽膜的方法
CN109072426A (zh) * 2016-02-26 2018-12-21 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
CN112777565A (zh) * 2019-11-05 2021-05-11 中国科学院大连化学物理研究所 一种可抑制逆反应的半导体光催化分解水的方法
CN112777565B (zh) * 2019-11-05 2022-11-22 中国科学院大连化学物理研究所 一种可抑制逆反应的半导体光催化分解水的方法

Also Published As

Publication number Publication date
US8460753B2 (en) 2013-06-11
EP2463404B1 (en) 2019-10-23
US20120148745A1 (en) 2012-06-14
TWI444499B (zh) 2014-07-11
EP2463404A1 (en) 2012-06-13
TW201224193A (en) 2012-06-16
JP5357240B2 (ja) 2013-12-04
KR20120064635A (ko) 2012-06-19
JP2012124492A (ja) 2012-06-28
KR101404576B1 (ko) 2014-06-09
CN105239055A (zh) 2016-01-13

Similar Documents

Publication Publication Date Title
CN102534548A (zh) 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
KR960011015B1 (ko) 유기디실란 소오스를 사용하여 저압 화학적 증착에 의해 100°c 정도의 저온에서 이산화규소막을 증착하는 방법
TWI502645B (zh) 低溫含矽膜
EP1123991A2 (en) Low dielectric constant materials and processes
KR19980081561A (ko) 높은 디포지션 비율의 할로겐 도핑된 실리콘 산화물층을디포지트하는 프로세스
US20020072220A1 (en) Low-k dielectric CVD precursors and uses thereof
KR20000068308A (ko) 반도체기판상에평탄한유전체층을침전시키는장치및방법
WO2011087698A2 (en) Pecvd multi-step processing with continuous plasma
Guo et al. Chemical treatment of low-k dielectric surfaces for patterning of thin solid films in microelectronic applications
Hernández et al. Kinetics and Compositional Dependence on the Microwave Power and SiH4/N 2 Flow Ratio of Silicon Nitride Deposited by Electron Cyclotron Resonance Plasmas
Chen Synthesis and characterization of silicon dioxide thin films by plasma enhances chemical vapor deposition from diethylsilane and nitrous oxide
Matero et al. High growth rate SiO2 by atomic layer deposition
JP5007487B2 (ja) スピンコート方法、低誘電率層間絶縁膜の製造方法、及び、半導体装置の製造方法
Zanotti et al. Process characterization for LPCVD TEOS-ozone based SiO2 films
Kumar Synthesis and characterization of silicon dioxide films using diethyl silane and oxygen
Fan Low pressure chemical vapor deposition of silicon nitride films from ditertiarybutylsilane
CN113166937A (zh) 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
Venkatesan Low pressure chemical vapor deposition of silicon dioxide and phosphosilicate glass thin films
Bhandari Characterization of hafnium based high-k thin films for solid state transistor gate application deposited by CVD and PECVD using hafnium (IV) tert-butoxide
Narayan Characterization of low pressure chemically vapor deposited Boron Nitride films as low dielectric constant materials
Shah Low pressure chemical vapor deposition of silicon carbonitride films from tri (dimethylamino) silane
Ramanuja Low pressure chemical vapor deposition of boron nitride thin films from triethylamine borane complex and ammonia
JP2004186210A (ja) 窒素含有ケイ素化合物膜の形成方法
Gorthy Low temperature synthesis and characterization of LPCVD silicon dioxide films using diethylsilane

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20120704