CN113373428B - 组合物和使用所述组合物沉积含硅膜的方法 - Google Patents

组合物和使用所述组合物沉积含硅膜的方法 Download PDF

Info

Publication number
CN113373428B
CN113373428B CN202110661668.0A CN202110661668A CN113373428B CN 113373428 B CN113373428 B CN 113373428B CN 202110661668 A CN202110661668 A CN 202110661668A CN 113373428 B CN113373428 B CN 113373428B
Authority
CN
China
Prior art keywords
plasma
nitrogen
branched
straight
ammonia
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110661668.0A
Other languages
English (en)
Other versions
CN113373428A (zh
Inventor
李建恒
J·F·莱曼
雷新建
R·N·弗蒂斯
R·G·里德格韦
W·R·恩特莱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202110661668.0A priority Critical patent/CN113373428B/zh
Publication of CN113373428A publication Critical patent/CN113373428A/zh
Application granted granted Critical
Publication of CN113373428B publication Critical patent/CN113373428B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Silicon Polymers (AREA)

Abstract

本文描述了组合物和使用所述组合物在具有表面特征的衬底的至少一个表面上形成含硅膜(例如,但不限于,氧化硅、氮化硅、氮氧化硅、碳掺杂氮化硅或碳掺杂氧化硅膜)的方法。在一个方面,所述组合物包含选自硅氧烷、基于三甲硅烷基胺的化合物、有机氨基乙硅烷化合物和环状三硅氮烷化合物的至少一种化合物。

Description

组合物和使用所述组合物沉积含硅膜的方法
本申请为申请日为2015年10月23日、申请号为201580067146.0、发明名称为“组合物和使用所述组合物沉积含硅膜的方法”的中国专利申请的分案申请。
相关申请的交叉引用
本申请要求2014年10月24日提交的申请号62/068,248的权益。申请号62/068,248的公开内容通过引用并入本文。
背景技术
本文描述了用于制造电子器件的方法。更具体地,本文描述了用于在沉积工艺(例如但不限于可流动化学气相沉积)中形成含硅膜的组合物。可以使用本文所述的组合物和方法沉积的示例性含硅膜包括但不限于氧化硅、氮化硅、氮氧化硅、碳掺杂氧化硅或碳掺杂氮化硅膜。
可流动氧化物沉积方法通常使用烷氧基硅烷化合物作为通过受控水解和缩合反应沉积的含硅膜的前体。这样的膜可以例如通过将水和烷氧基硅烷的混合物任选地与溶剂和/或其它添加剂(如表面活性剂和致孔剂)一起施加到衬底上而沉积在衬底上。用于施加这些混合物的典型方法包括但不限于旋涂、浸涂、喷涂、丝网印刷、共缩合和喷墨打印。在施加到衬底后且在施加一种或多种能量源(例如但不限于热、等离子体和/或其它能量源)时,混合物内的水可与烷氧基硅烷反应以水解烷氧基(alkoxide)和/或芳氧基(aryloxide)基团,并产生硅醇物质,其进一步与其它水解的分子缩合并形成低聚或网状结构。
除了将前体物理沉积或施加到衬底上之外,已经在例如美国专利No.8,481,403、8,580,697、8,685,867、美国公布No.2013/0230987 A1、7,498,273、7,074,690、7,582,555、7,888,233和7,915,131中描述了使用水和含硅蒸气源进行可流动介电沉积(FCVD)的气相沉积工艺。典型的方法通常涉及通过在间隙中形成可流动膜而用固体介电材料填充衬底上的间隙。可流动膜通过使可以具有Si-C键的介电前体与氧化剂反应以形成介电材料而形成。在某些实施方式中,介电前体缩合并随后与氧化剂反应以形成介电材料。在某些实施方式中,气相反应物反应以形成缩合的可流动膜。由于Si-C键对于与水的反应是相对惰性的,所得网络可以用有机官能团有利地功能化,所述有机官能团赋予所得膜以期望的化学和物理性质。例如,向网络中添加碳可以降低所得膜的介电常数。
使用可流动化学气相沉积工艺沉积氧化硅膜的另一种途径是气相聚合。例如,现有技术已经聚焦于使用化合物如三甲硅烷基胺(TSA)以沉积含Si、H、N的低聚物,随后使用臭氧暴露使其氧化成SiOx膜。这样的途径的实例包括:美国公布No.2014/073144;美国公开No.2013/230987;美国专利No.7,521,378、7,557,420和8,575,040;和美国专利No.7,825,040。
参考文献“Novel Flowable CVD Process Technology for sub-20nmInterlayer Dielectric”,H.Kim等,Interconnect Technology Conference(IITC),2012IEEE International,San Jose,CA描述了在低温沉积和臭氧处理期间使用远程等离子体使膜稳定的可流动CVD工艺。该参考文献中还描述了不氧化Si或电极的可流动CVD工艺,导致除去作为氧化或扩散屏障的Si3N4停止层。在将可流动CVD应用于20nm DRAM ILD之后,作者不仅可以使位线的负载电容减少15%,而且能够实现相当的生产率。通过亚-20nm DRAMILD间隙填充工艺的成功开发,可流动CVD已成功地证明是用于在亚-20nm下一代器件中有大规模生产价值的ILD的有前景的候选者。
虽然本领域近期存在涉及可流动化学气相沉积和其它膜沉积工艺的活动,但仍然留有问题。这些问题之一涉及膜组成。例如,在气相聚合工艺中从前体三甲硅烷基胺(TSA)沉积的可流动氧化物膜产生具有高密度Si-H键的膜,并且在稀HF溶液中具有比高质量热氧化物快2.2至2.5倍的湿蚀刻速率。因此,需要提供替代性前体化合物以产生具有较低Si-H键密度、较低膜蚀刻速率或其组合的含硅膜。
发明内容
本文所述的组合物或制剂和使用该组合物或制剂的方法通过在衬底表面的至少一部分上沉积含硅膜(其在用含氧源的沉积后处理时提供期望膜性质)而克服现有技术的问题。在某些实施方式中,衬底包括表面特征。如本文所使用的术语“表面特征”是指衬底包含下述孔、沟槽、浅沟槽隔离(STI)、通孔、凹入特征等中的一个或多个。组合物可以是预混合组合物,预混物(在用于沉积工艺之前混合)或原位混合物(在沉积工艺过程中混合)。因此,在本公开中,术语“混合物”、“制剂”和“组合物”可以互换。
在一个方面,用于在包含表面特征的衬底的至少一个表面上沉积含硅膜的组合物包含选自以下的至少一种化合物:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000031
Figure BDA0003115618590000041
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000042
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000043
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000051
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环。在一个具体实施方式,组合物包含硅氧烷化合物。在另一个具体实施方式中,组合物包含基于三甲硅烷基胺的化合物。在进一步的实施方式中,组合物包含有机氨基乙硅烷。在又一个实施方式中,组合物包含环硅氮烷化合物。
在另一方面,提供了一种用于沉积含硅膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其中所述衬底保持在约-20℃至约400℃范围内的一个或多个温度下,并且所述反应器的压力保持为100托或更低;
引入反应器中选自以下的至少一种化合物和氮源:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000052
Figure BDA0003115618590000061
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000062
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000063
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000071
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,并且其中所述至少一种化合物与所述氮源反应以在所述表面特征的至少一部分上形成含氮化硅的膜;和
在约20℃至约1000℃范围内的一个或多个温度下用氧源处理所述衬底以在所述表面特征的至少一部分上形成氧化硅膜。
附图说明
图1提供了使用本文所述的方法和组合物在实施例1中沉积的膜上的横截面扫描电镜(SEM)图像及其对衬底的某些表面特征的影响。
图2提供了使用本文所述的方法和组合物在实施例2中沉积的碳氮化硅膜上的横截面扫描电镜(SEM)图像及其对衬底的某些表面特征的影响。
具体实施方式
本文描述了前体和使用所述前体通过化学气相沉积(CVD)工艺在包含一个或多个表面特征的衬底的至少一部分上沉积可流动氧化物膜的方法。某些现有技术工艺使用前体三甲硅烷基胺(TSA),其作为气体递送到反应室中,与氨混合,并在远程等离子体反应器中激活以产生NH2、NH、H和/或N自由基或离子。TSA与等离子体激活的氨反应并开始低聚以形成较高分子量的TSA二聚体和三聚体或其它含有Si、N和H的物质。将衬底置于反应器中并在特定室压力和TSA/激活的氨混合物下冷却至约0℃至约50℃范围内的一个或多个温度,低聚物开始在晶片表面上以使得它们可以“流动”以填充沟槽表面特征的方式缩合(condense)。以这种方式,将含有Si、N和H的材料沉积在晶片上并填充沟槽。在某些实施方式中,进行预退火步骤以允许膜更像SiN。期望的是具有SiN材料,因为下个工艺步骤是使用臭氧或水在100-700℃范围内的一个或多个温度下的氧化。因为SiN的键距和键角,已知随着SiN被氧化成SiO2,存在着晶胞体积的增加,这阻止膜收缩。膜收缩是不期望的,因为它诱导拉伸应力(其增加膜在稀HF溶液中的湿蚀刻速率)。还期望使Si-H含量最小化,因为通常难以利用臭氧完全氧化致密膜,并且残留Si-H含量也导致湿蚀刻速率增加。因此,本领域需要提供使膜收缩最小化、降低拉伸应力、使Si-H含量最小化和/或不负面地影响膜湿蚀刻速率的方法和组合物。
本文所述的方法和组合物实现以下目的中的一个或多个。在某些实施方式中,本文所述的方法和组合物避免使用具有Si-C键的前体化合物,因为这些键在形成氮化硅膜的引入步骤中难以除去,可能在氧化步骤中导致膜收缩,和/或导致氧化的膜的缺陷。在这个或其它实施方式中,本文所述的方法和组合物通过引入提高前体中硅与氢的比率的环结构,进一步通过增加杂原子与硅的比率而降低膜的SiH含量。此外,本文所述的方法和组合物通过使用沸点高于TSA的前体化合物帮助控制低聚过程(例如,其中形成氮化硅膜的方法的引入步骤),所述前体化合物可以作为单体在晶片表面上缩合并随后在表面上聚合,使用例如氮基等离子体(如氨NH3)或包含氢和氮的等离子体,然后用含氧源(如臭氧、氧或水)处理以形成氧化物。在所述方法的某些实施方式中,脉冲工艺可用于通过交替的缩合和等离子体聚合使氮化硅膜厚度缓慢增长。在这些实施方式中,脉冲工艺生长较薄的膜(例如,10纳米(nm)或更小)),其在处理步骤中暴露于氧源时可以产生更致密的氧化硅膜。
在某些实施方式中,本文所述的组合物包含选自以下的至少一种化合物:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000091
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000092
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000101
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000102
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环。
在其中前体化合物包含硅氧烷前体的实施方式中,硅氧烷前体的实例包括但不限于二硅氧烷和三硅氧烷及其组合,如式IA-ID中提供的那些。另外的实施方式将硅氧烷特征与硅氮烷特征结合,例如,如式IE和IF中。不受理论束缚,据信本文所述的硅氧烷前体反应以形成氮氧化物样膜,并在两个维度上扩展,从而提供更好的流动性和氧化方便性以除去Si-H键。此外,在这些实施方式中,碳的不存在将帮助减少膜固化期间的膜收缩。
在其它实施方式中,前体化合物包含基于三甲硅烷基胺(TSA)的化合物,例如式IIA-IID中所示的那些化合物。该化合物的一个实例包括双(二甲硅烷基氨基)硅烷(IIB)。该实施方式的另一个实例是式IIC化合物三(乙基甲硅烷基)胺,其中亚乙基(ethylene)在可流动化学气相沉积(FCVD)工艺中充当离去基团,从而产生额外的Si反应位点,同时降低前体中的Si-H含量。虽然不受理论约束,但在这些实施方式中,据信在氮源的存在下通过在引入步骤中消除硅烷而形成的TSA的更高阶低聚物(2X-4X)在前体和后续的膜中降低H与Si的比率,这然后将降低沉积和固化的膜中的Si-H键密度。
在替代性实施方式中,前体化合物包含有机氨基乙硅烷化合物,例如式III所示的那些化合物。这样的化合物的实例是二仲丁基氨基乙硅烷。虽然不受理论束缚,但这些前体可以直接转化为氧化物,因为氧插入到Si-Si键中可以增加膜体积以抵消膜固化期间的体积损失。这不同于使用TSA,TSA用于产生在暴露于氧源(如臭氧)时膨胀的不含Si-Si键的Si-N-H膜网络。
在又一个实施方式中,前体化合物包含取代的环状三硅氮烷前体,如式IV中,这些前体的实例包括具有以下结构之一的化合物:1,3,5-三甲基-1,3,5-三氮杂-2,4,6-三硅杂-环己烷。在这些实施方式中,据信环结构将降低膜中的SiH含量,为形成更高密度的氧化物膜,这是有利的。
本文所述的硅前体化合物可以以多种方式递送至反应室,例如CVD或ALD反应器。在一个实施方式中,可以使用液体递送系统。在替代性实施方式中,可以采用组合的液体递送和闪蒸工艺单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重现的运输和沉积而不使前体热分解。在液体递送方式中,本文所述的前体可以以纯液体形式递送,或者可以用于包含该前体的溶剂制剂或组合物中。因此,在某些实施方式中,前体制剂可以包含具有适合特性的一种或多种溶剂组分,如可以在形成衬底上的膜的给定最终用途应用中期望的和有利的适合特性。
硅前体化合物优选基本上不含卤离子,如氯离子,或金属离子,如Al。如本文所使用的,术语“基本上不含”在其涉及卤离子(或卤化物),如氯离子、氟离子、溴离子、碘离子,Al3+离子、Fe2+、Fe3+、Ni2+、Cr3+时是指小于5ppm(按重量计),优选小于3ppm,更优选小于1ppm,最优选为0ppm。氯离子或金属离子已知起到硅前体的分解催化剂的作用。最终产物中显著水平的氯离子可导致硅前体降解。硅前体的逐渐降解可以直接影响膜沉积工艺,使得半导体制造商难以达到膜规格。此外,保存期或稳定性受到硅前体的较高降解速率的不利影响,因此使得难以保证1-2年的保存期。此外,硅前体已知在分解时形成易燃和/或自燃的气体,例如氢气和硅烷。因此,硅前体的加速分解提出了与这些易燃和/或自燃气态副产物的形成有关的安全性和性能顾虑。
基本上不含卤离子的根据本发明的组合物可以通过(1)在化学合成过程中减少或消除氯离子源,和/或(2)实施有效的纯化处理以从粗产物中除去氯离子,使得最终纯化产物基本上不含氯离子而实现。通过使用不含卤离子的试剂如氯乙硅烷、溴乙硅烷或碘乙硅烷,可以在合成过程中减少氯离子源,从而避免产生含卤离子的副产物。此外,上述试剂应基本上不含氯离子杂质,使得所得粗产物基本上不含氯离子杂质。以类似的方式,合成应不使用基于卤化物的溶剂、催化剂或含有不可接受的高水平卤化物污染的溶剂。粗产物也可以通过各种纯化方法处理以使最终产物基本上不含卤离子,如氯离子。这样的方法在现有技术中有充分描述,并且可以包括但不限于纯化过程,如蒸馏或吸附。蒸馏常用于通过利用沸点差异分离杂质与期望产物。也可以使用利用组分的吸附性质差异实现分离的吸附,使得最终产物基本上不含卤离子。吸附剂,例如市售MgO-Al2O3共混物,可用于除去卤离子,如氯离子。
对于涉及包含溶剂和本文所述的至少一种化合物的组合物的那些实施方式,所选溶剂或其混合物不与硅化合物反应。组合物中溶剂的量(重量%)为0.5重量%至99.5重量%或10重量%至75重量%。在这个或其他实施方式中,溶剂的沸点(b.p.)与式I、II、III和IV的硅前体的b.p.相似,或者溶剂的b.p.与式II的硅前体的b.p.之差为40℃或更小、30℃或更小、或20℃或更小、10℃或更小或者5℃或更小。或者,沸点之差在任一个或多个以下端点中的范围内:0、10、20、30或40℃。b.p.之差的适合范围的实例包括但不限于0至40℃,20至30℃或10至30℃。组合物中适合溶剂的实例包括但不限于醚(如1,4-二噁烷、二丁醚),叔胺(如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺),腈(如苄腈),烷基烃(如辛烷、壬烷、十二烷、乙基环己烷),芳族烃(如甲苯、均三甲苯),叔氨基醚(如双(2-二甲基氨基乙基)醚)或其混合物。一些非限制性示例组合物包括但不限于包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和辛烷(b.p.为125至126℃)的组合物;包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和乙基环己烷(b.p.为130-132℃)的组合物;包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和甲苯(b.p.为115℃)的组合物;包含双(二甲硅烷基氨基)硅烷(b.p.为约135℃)和环辛烷(b.p.为149℃)的组合物。
在上述式和整个说明书中,术语“直链烷基”表示具有1至10、3至10或1至6个碳原子的直链官能团。在上述式和整个说明书中,术语“支链烷基”表示具有3至10或1至6个碳原子的支链官能团。示例性直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有与其连接的一个或多个官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。烷基可以是饱和的,或者是不饱和的。
在上述式和整个说明书中,术语“卤离子”表示氯离子、溴离子、碘离子或氟离子。
在上述式和整个说明书中,术语“环烷基”表示具有3至10或5至10个原子的环状基团。示例性环烷基包括但不限于环丁基、环戊基、环己基和环辛基。在某些实施方式中,环烷基可以具有一个或多个C1-C10直链、支链取代基,或者含有氧或氮原子的取代基。在这个或其它实施方式中,环烷基可以具有一个或多个直链或支链烷基或烷氧基作为取代基,例如甲基环己基或甲氧基环己基。
在上述式和整个说明书中,术语“芳基”表示具有3至10个碳原子、5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在上述式和整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有2至12个、2至10个或2至6个碳原子的基团。示例性的烯基包括但不限于乙烯基或烯丙基。
在上述式和整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并具有2至12个或2至6个碳原子的基团。
在上述式和整个说明书中,本文所用的术语“不饱和的”是指官能团、取代基、环或桥具有一个或多个碳双键或三键。不饱和环的实例可以是,但不限于芳族环,如苯环。术语“饱和的”是指官能团、取代基、环或桥不具有一个或多个双键或三键。
在某些实施方式中,式中的烷基、烯基、炔基、芳基和/或芳族基团中的一个或多个可以是“取代的”或具有替代例如氢原子的一个或多个原子或原子团。示例性取代基包括但不限于氧、硫、卤素原子(例如F、Cl、I或Br)、氮、烷基和磷。在其它实施方式中,式中的烷基、烯基、炔基、芳族基团和/或芳基中的一个或多个可以是未取代的。
在某些实施方式中,上述式中的取代基R1、R2和R3中的任一个或多个在它们不是氢时可以与上述式中的C-C键连接以形成环结构。如技术人员将理解的,取代基可以选自直链或支链C1-C10亚烷基部分;C2-C12亚烯基部分;C2-C12亚炔基部分;C4-C10环烷基部分;和C6-C10亚芳基部分。在这些实施方式中,环结构可以是饱和的,例如环烷基环,或不饱和的,例如芳基环。此外,在这些实施方式中,环结构也可以是取代的或未取代的。在其它实施方式中,取代基R1,R2和R3中的任一个或多个未连接。
用于形成本文所述的膜或涂层的方法是沉积工艺。本文公开的方法的合适沉积工艺的实例包括但不限于化学气相沉积(CVD)或等离子体增强循环CVD(PECCVD)工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生膜或材料。虽然本文使用的前体、试剂和源有时可以被描述为“气态的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华与惰性气体一起或在没有惰性气体的情况下输送到反应器中。在一些情况下,气化的前体可以通过等离子体发生器。在一个实施方式中,膜使用基于等离子体(例如,远程产生的或原位的)的CVD工艺沉积。在另一个实施方式中,膜使用CCVD工艺沉积。在又一个实施方式中,膜使用热CVD工艺沉积。本文所用术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,衬底可以暴露于一个或多个沉积前处理,例如但不限于等离子体处理、热处理、化学处理、紫外光暴露、电子束暴露及其组合,以影响膜的一个或多个性质。这些沉积前处理可以在选自惰性、氧化和/或还原的气氛下进行。
将能量施加到化合物、含氮源、氧源、其它前体或其组合中的至少一者以引起反应并在衬底上形成含硅膜或涂层。这样的能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体产生方法可以包括直接等离子体产生方法(其中等离子体在反应器中直接产生),或者远程等离子体产生方法(其中等离子体在反应器外部产生并供应到反应器中)。
如前所述,所述方法将膜沉积在包含表面特征的衬底表面的至少一部分上。将衬底置于反应器中,并且将衬底保持在约-20℃至约400℃范围内的一个或多个温度。在一个具体实施方式中,衬底的温度低于腔室的壁。衬底温度保持在低于100℃的温度下,优选低于25℃的温度,并且最优选低于10℃且大于-20℃。
如前所述,衬底包含一个或多个表面特征。在一个具体实施方式中,表面特征的宽度为100μm或更小,宽度为1μm或更小,或宽度为0.5μm或更小。在这个或其他实施方式中,特征(如果存在)的纵横比(深宽比)为0.1:1或更大,或1:1或更大,或10:1或更大,或20:1或更大,或40:1或更大。衬底可以是单晶硅晶片、碳化硅晶片、氧化铝(蓝宝石)晶片、玻璃片、金属箔、有机聚合物膜,或者可以是聚合物、玻璃、硅或金属三维制品。衬底可以涂布有本领域公知的多种材料,包括氧化硅、氮化硅、无定形碳、碳氧化硅、氮氧化硅、碳化硅、砷化镓、氮化镓等的膜。这些涂层可以完全涂布衬底,可以是各种材料的多个层,并且可以被部分蚀刻以暴露下层材料层。表面也可以在其上具有光致抗蚀剂材料,其以图案曝光并显影以部分地覆盖衬底。
在某些实施方式中,反应器处于低于大气压或750托(105帕斯卡(Pa))或更低,或100托(13332Pa)或更低的压力下。在其他实施方式中,反应器的压力保持在约0.1托(13Pa)至约10托(1333Pa)的范围内。
在一个具体实施方式中,其中将至少一种化合物和氮源引入反应器中的引入步骤在0至1000℃,或约400℃至约1000℃,或约400℃至约600℃,450℃至约600℃,或约450℃至约550℃范围内的一个或多个温度下进行。在这些或其他实施方式中,衬底包括包含表面特征的半导体衬底。含氮源可以选自氨、肼、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。至少一种化合物和氮源在表面特征和衬底的至少一部分上反应并形成氮化硅膜(其为非化学计量的)。
在沉积氮化硅膜之后,在足以使氮化硅膜形成氧化硅或氮氧化硅膜的某些工艺条件下,任选地用含氧源处理衬底。含氧源可以选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
在一个具体实施方式中,用于在可流动化学气相沉积工艺中沉积氧化硅或碳掺杂氧化硅膜的方法包括:
将具有表面特征的衬底置于反应器中,所述反应器保持在约-20℃至约400℃范围内的温度下;
向所述反应器引入选自以下的至少一种化合物和氮源:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000171
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000172
Figure BDA0003115618590000181
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000182
/>
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000183
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,其中所述至少一种化合物与所述氮源反应以在所述表面特征的至少一部分上形成含氮化物的膜;和
在约100℃至约1000℃范围内的一个或多个温度下用氧源处理所述衬底以在所述表面特征的至少一部分上形成氧化硅膜以提供所述氧化硅膜。或者,所述膜可以在约100℃至约1000℃范围内的温度下暴露于氧源,同时暴露于UV照射。该工艺步骤可以重复直至表面特征被高质量氧化硅膜填充。
在本文所述的方法的另一个实施方式中,膜使用可流动CVD工艺沉积。在这个实施方式中,所述方法包括:
将包含表面特征的一个或多个衬底置于反应器中,所述反应器被加热到-20℃至约400℃范围内的温度,并且保持100托或更低的压力;
引入选自以下的至少一种化合物:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000191
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000201
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000202
/>
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000203
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;
向所述反应器中提供氧源以与所述至少一种化合物反应,从而形成膜并覆盖所述表面特征的至少一部分;
在约100℃至1000℃,优选100℃至400℃范围内的一个或多个温度下使所述膜退火,以允许含硅膜包覆所述表面特征的至少一部分。这个实施方式的氧源选自水蒸气、水等离子体、臭氧、氧、氧等离子体,氧/氦等离子体、氧/氩等离子体、氮氧化物等离子体、二氧化碳等离子体、过氧化氢、有机过氧化物及其混合物。所述工艺可以重复直至表面特征被含硅膜填充。当水蒸气在这个实施方式中用作氧源时,衬底温度优选为-20至40℃,最优选-10至25℃。
在本文所述的方法的又一个实施方式中,选自氮化硅、碳掺杂氮化硅、氮氧化硅和碳掺杂氮氧化硅膜的含硅膜使用可流动等离子体增强CVD工艺沉积。在这个实施方式中,所述方法包括:
将包含表面特征的一个或多个衬底置于反应器中,所述反应器被加热到-20℃至约400℃范围内的温度,并且保持在100托或更低的压力;
引入选自以下的至少一种化合物:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000221
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000222
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000231
/>
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代芳族环或者取代或未取代脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000232
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;
向所述反应器中提供等离子体源以与所述化合物反应,从而在所述表面特征的至少一部分上形成涂层;
在约100℃至1000℃或约100℃至400℃范围内的一个或多个温度下使所述涂层退火,以在所述表面特征的至少一部分上形成含硅膜。用于这个实施方式的等离子体选自氮等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氦等离子体、氩等离子体、氢等离子体、氢/氦等离子体、氮/氢等离子体、氢/氩等离子体、有机胺等离子体及其混合物。对于可流动等离子体增强CVD,该工艺可以重复数次直至通孔或沟槽被致密膜填充。
上述步骤定义了本文所述方法的一个循环;并且该循环可以重复直至获得期望厚度的含硅膜。在这个或其他实施方式中,应理解,本文所述的方法的步骤可以以多种顺序进行,可以连续或同时(例如,在另一步骤的至少一部分的过程中)进行及其任何组合。供应化合物和其他试剂的相应步骤可以通过改变供应它们的持续时间而进行以改变所得含硅膜的化学计量组成。
在某些实施方式中,所得含硅膜或涂层可以暴露于沉积后处理,例如但不限于等离子体处理、化学处理、紫外光暴露、红外光暴露、电子束暴露和/或其他处理以影响膜的一个或多个性质。
在整个说明书中,本文所用的术语“有机胺”描述了具有至少一个氮原子的有机化合物。有机胺的实例包括但不限于甲胺、乙胺、丙胺、异丙胺、叔丁胺、仲丁胺、叔戊胺、乙二胺、二甲胺、三甲胺、二乙胺、吡咯、2,6-二甲基哌啶、二正丙胺、二异丙胺、乙基甲基胺、N-甲基苯胺、吡啶和三乙胺。
在整个说明书中,本文所用的术语“氮化硅”是指包含硅和氮的膜,其选自化学计量或非化学计量的氮化硅、碳氮化硅、碳氧氮化硅、氮化硅铝及其混合物。
在整个说明书中,本文所用的术语“氧化硅”是指包含硅和氧的膜,其选自化学计量或非化学计量的氧化硅、碳掺杂氧化硅、碳氧氮化硅及其混合物。
因此,本发明至少提供了以下各项:
1.一种用于使用可流动化学气相沉积在包含表面特征的衬底的至少一个表面上沉积含硅膜的组合物,所述组合物包含:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000251
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000252
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000261
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000262
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环。
2.根据项1所述的组合物,其中所述至少一种化合物包含硅氧烷化合物。
3.根据项1所述的组合物,其中所述至少一种化合物包含基于三甲硅烷基胺的化合物。
4.根据项1所述的组合物,其中所述至少一种化合物包含有机氨基乙硅烷化合物。
5.根据项1所述的组合物,其中所述至少一种化合物包含环硅氮烷化合物。
6.根据项1所述的组合物,其还包含选自醚、叔胺、烷基烃、芳族烃和叔氨基醚的至少一种溶剂。
7.根据项1所述的组合物,其还包含选自辛烷、乙基环己烷、环辛烷和甲苯的至少一种溶剂。
8.一种使用可流动化学气相沉积来沉积氧化硅膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其中所述衬底保持在约-20℃至约400℃范围内的一个或多个温度下,并且所述反应器的压力保持为100托或更低;
引入选自以下的至少一种化合物:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000271
Figure BDA0003115618590000281
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000282
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000283
/>
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000291
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,并且其中所述至少一种化合物形成覆盖所述表面特征的至少一部分的低聚聚硅氮烷物质;和
在约100℃至约1000℃范围内的一个或多个温度下用氧源处理所述低聚聚硅氮烷物质以在所述表面特征的至少一部分上形成所述膜。
9.根据项8所述的氧源,其选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
10.一种在沉积工艺中沉积氧化硅膜的方法,所述方法包括:
将具有表面特征的衬底置于反应器中,所述反应器保持在约-20℃至约400℃范围内的一个或多个温度下;
向所述反应器中引入选自以下的至少一种化合物和氮源:
(a)选自以下的硅氧烷化合物:
Figure BDA0003115618590000292
/>
Figure BDA0003115618590000301
(b)选自以下的基于三甲硅烷基胺的化合物:
Figure BDA0003115618590000302
其中取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
(c)具有下式III的有机氨基乙硅烷化合物:
Figure BDA0003115618590000303
其中取代基R1和R2各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1和R2可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;并且n=1或2;
(d)具有下式IV的环硅氮烷化合物:
Figure BDA0003115618590000311
其中取代基R1、R2和R3各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;其中任选地,R1、R2和R3中的任一个或多个可以连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环,其中所述至少一种化合物与所述氮源反应以在所述表面特征的至少一部分上形成含氮化物的膜;和
在约100℃至约1000℃范围内的一个或多个温度下用氧源处理所述衬底以在所述表面特征的至少一部分上形成所述氧化硅膜以提供所述氧化硅膜。
11.根据项10所述的方法,其中所述氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。
12.根据项10所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体原位产生。
13.根据项10所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体远程产生。
14.根据项10所述的方法,其中所述氧源选自水(H2O)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
15.根据项10所述的方法,其中所述氧化硅膜具有湿蚀刻速率,并且在稀HF中所述湿蚀刻速率比热氧化物膜的湿蚀刻速率的2.2倍更小。
16.根据项10所述的方法,其还包括:用选自等离子体、紫外光、红外光或其组合的至少一种来处理所述氧化硅膜。
以下实施例说明了本文所述的用于沉积含硅膜的组合物和方法,而并不意图以任何方式限制它。
实施例
一般沉积条件
将可流动化学气相沉积(CVD)膜沉积到中等电阻率(8-12Ωcm)的单晶硅晶片衬底和Al图案晶片上。
使用硅烷或TEOS工艺套件,在Applied Materials Precision 5000系统上200mmDXZ室中进行沉积。等离子体增强化学气相沉积(PECVD)室配备了直接液体注入(DLI)递送能力。前体是液体,其递送温度取决于前体的沸点。为了沉积初始可流动氮化物膜,典型液体前体流速范围为约100至约5000mg/min,原位等离子体功率密度范围为约0.25至约3.5W/cm2,并且压力范围为约0.75-12托。为了将初始沉积的可流动氮化物膜转化为氧化物膜,将膜在约25℃至约300℃范围内的温度下暴露于包含臭氧的氧源。所沉积的膜通过UV处理和在N2气氛(O2<10ppm)中在800℃下热退火而致密化。为了将初始可流动氮化物膜转化为高质量氮化物膜,将膜在室温至400℃下通过NH3或N2等离子体处理并UV固化。通过反射计或椭率计在632nm处测量厚度和折射率(RI)。典型膜厚度范围为约10至约2000nm。通过Nicolet透射傅里叶变换红外光谱(FTIR)设备测量和分析硅基膜的键合性质氢含量(Si-H、C-H和N-H)。使用X射线反射率(XRR)完成所有密度测量。进行X射线光电子能谱(XPS)和次级离子质谱(SIMS)分析以确定膜的元素组成。在100:1的稀HF溶液中测量湿蚀刻速率(WER)。采用汞探头进行电性质测量,包括介电常数、漏电流和击穿电场。使用Hitachi S-4700系统以2.0nm分辨率通过横截面扫描电镜(SEM)观察Al图案化晶片上的流动性和间隙填充效果。
使用实验设计(DOE)方法进行可流动CVD沉积。实验设计包括:前体流速范围为约100至约5000mg/min或约1000至约2000mg/min;NH3流速为约100sccm至约1000sccm,优选约100至约300sccm;压力范围为0.75至12托,优选约8至12托;RF功率(约13.56MHz)范围为约100至1000W,或约50至约200W;低频(LF)功率范围为0至100W;和沉积温度范围为约150至约550℃,或约0至100℃。DOE实验用于确定哪些工艺参数产生具有良好流动性的最佳膜。
实施例1:使用双(二甲硅烷基氨基)硅烷(或二甲硅烷基三硅氮烷)-式IIB沉积氧化硅膜
使用双(二甲硅烷基氨基)硅烷作为前体沉积多个氧化硅膜到8英寸硅衬底和图案化衬底(例如具有表面特征)上以比较膜的相对流动性、膜密度和湿蚀刻速率。
在由双(二甲硅烷基氨基)硅烷沉积的初始可流动氮化物膜中,用于提供最有利的膜性质的工艺条件如下:双(二甲硅烷基氨基)硅烷流速(800-2000mg/min),NH3流速(200-500sccm),He(100-300sccm),压力(8-10托),RF(80-120W),和温度(40-50℃)。表1显示了使用双(二甲硅烷基氨基)硅烷的所选可流动膜沉积的沉积条件:
表1
Figure BDA0003115618590000341
将所沉积的氮化硅膜暴露于氧源臭氧O3以进行氧化。图1中示出了图案晶片上的流动性和间隙填充效果。在较大表面特征上的横截面SEM图像的目视检查显示沟槽中良好的流动性,意味着特征部是无空隙的、无缝的、自底向上填充的(bottoms-up fill);在较小表面特征上的横截面SEM图像表明可流动双(二甲硅烷基氨基)硅烷膜的良好间隙填充效果。沉积的膜通过紫外(UV)固化10-15分钟进行处理,并通过在约600至约800℃范围内的一个或多个温度下热退火1-2小时致密化。1.45的折射率和FT-IR光谱表明氧化物膜是高质量氧化物膜。通过将膜浸入100:1的稀HF中并与热氧化物膜比较而测试退火后膜的湿蚀刻速率(WER)。氧化硅膜的WER为4.2-4.7nm/min。相比之下,热氧化物膜的WER为约2.2nm/min。使用双(二甲硅烷基氨基)硅烷沉积的氧化硅的WER约为热氧化物膜的WER的1.9-2.1倍,证明使用双(二甲硅烷基氨基)硅烷可以获得高质量氧化硅。相比之下,由三甲硅烷基胺(TSA)沉积的氧化硅的WER为热氧化物的约2.2-2.5倍。
实施例2:使用1,1,3,3,5,5-六甲基环三硅氮烷-式IV沉积碳氮化硅膜
使用1,1,3,3,5,5-六甲基环三硅氮烷作为前体沉积多个碳氮化硅膜到8英寸硅衬底和图案化衬底上以比较流动性。
在由1,1,3,3,5,5-六甲基环三硅氮烷沉积的可流动碳氮化硅膜中,用于提供最有利的膜性质的工艺条件如下:1,1,3,3,5,5-六甲基环三硅氮烷流速(范围为800-1500mg/min),He(范围为100-300sccm),压力(范围为4-10托),RF(范围为100-500W),和温度(范围为30-40℃)。表2显示了使用1,1,3,3,5,5-六甲基环三硅氮烷的所选可流动膜沉积的沉积条件:
表2
Figure BDA0003115618590000351
将所沉积的碳氮化硅膜在250-400℃下热处理。图2中示出了图案晶片上的流动性和间隙填充效果。在较大表面特征上的横截面SEM图像的目视检查显示沟槽中良好的流动性,其意味着特征部是无空隙的、无缝的、自底向上填充的;在较小表面特征上的横截面SEM图像表明可流动1,1,3,3,5,5-六甲基环三硅氮烷膜的自底向上的间隙填充效果。

Claims (11)

1.一种使用可流动化学气相沉积来沉积氮化硅或碳掺杂氮化硅膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其中所述衬底保持在-20℃至400℃范围内的一个或多个温度下,并且所述反应器的压力保持为100托或更低;
引入选自以下的至少一种化合物和氮源:
Figure FDA0003115618580000011
其中式IIA中的取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;以及其中式IIC中的取代基R各自独立地选自卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
其中所述至少一种化合物与所述氮源反应以在所述表面特征的至少一部分上形成氮化硅或碳掺杂氮化硅膜。
2.根据权利要求1所述的方法,其中所述氮源选自氨、肼、氮、氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。
3.根据权利要求1所述的方法,其中所述氮源选自氨、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。
4.一种在沉积工艺中沉积氮化硅或碳掺杂氮化硅膜的方法,所述方法包括:
将具有表面特征的衬底置于反应器中,所述反应器保持在-20℃至400℃范围内的一个或多个温度下;
向所述反应器中引入选自以下的至少一种化合物和氮源:
Figure FDA0003115618580000021
其中式IIA中的取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;以及其中式IIC中的取代基R各自独立地选自卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
其中所述至少一种化合物与所述氮源反应以在所述表面特征的至少一部分上形成氮化硅或碳掺杂氮化硅膜。
5.根据权利要求4所述的方法,其中所述氮源选自氨、肼、氮、氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。
6.根据权利要求4所述的方法,其中所述氮源选自氨、单烷基肼、二烷基肼、氮、氮等离子体、氮/氢等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3、NF3等离子体、有机胺等离子体及其混合物。
7.根据权利要求4所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体原位产生。
8.根据权利要求4所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体远程产生。
9.一种使用可流动等离子体增强化学气相沉积工艺沉积氮化硅或碳掺杂氮化硅膜的方法,所述方法包括:
将包含表面特征的一个或多个衬底置于反应器中,所述反应器被加热到-20℃至约400℃范围内的温度,并且保持在100托或更低的压力;
引入选自以下的至少一种化合物:
Figure FDA0003115618580000041
其中式IIA中的取代基R各自独立地选自氢原子;卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;以及其中式IIC中的取代基R各自独立地选自卤素原子;直链C1-C10烷基;支链C3-C10烷基;直链或支链C3-C12烯基;直链或支链C3-C12炔基;C4-C10环烷基;和C6-C10芳基;
向所述反应器中提供等离子体源以与所述化合物反应,从而在所述表面特征的至少一部分上形成涂层;
在100℃至1000℃范围内的一个或多个温度下使所述涂层退火,以在所述表面特征的至少一部分上形成氮化硅或碳掺杂氮化硅膜。
10.根据权利要求9所述的方法,其中所述等离子体选自氮等离子体、氮/氦等离子体、氮/氩等离子体、氨等离子体、氨/氦等离子体、氨/氩等离子体、氦等离子体、氩等离子体、氢等离子体、氢/氦等离子体、氮/氢等离子体、氢/氩等离子体、有机胺等离子体及其混合物。
11.根据权利要求9或10所述的方法,其中在100℃至400℃范围内的一个或多个温度下使所述涂层退火。
CN202110661668.0A 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法 Active CN113373428B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110661668.0A CN113373428B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462068248P 2014-10-24 2014-10-24
US62/068,248 2014-10-24
PCT/US2015/057040 WO2016065219A1 (en) 2014-10-24 2015-10-23 Compositions and methods using same for deposition of silicon-containing film
CN202110661668.0A CN113373428B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN201580067146.0A CN107429391B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580067146.0A Division CN107429391B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Publications (2)

Publication Number Publication Date
CN113373428A CN113373428A (zh) 2021-09-10
CN113373428B true CN113373428B (zh) 2023-07-14

Family

ID=54477308

Family Applications (4)

Application Number Title Priority Date Filing Date
CN202110661668.0A Active CN113373428B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN202110244436.5A Active CN113025992B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN201580067146.0A Active CN107429391B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN201580067222.8A Active CN107257867B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN202110244436.5A Active CN113025992B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN201580067146.0A Active CN107429391B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法
CN201580067222.8A Active CN107257867B (zh) 2014-10-24 2015-10-23 组合物和使用所述组合物沉积含硅膜的方法

Country Status (8)

Country Link
US (4) US10106890B2 (zh)
EP (3) EP3209813B1 (zh)
JP (4) JP6871161B2 (zh)
KR (3) KR102079501B1 (zh)
CN (4) CN113373428B (zh)
SG (3) SG11201703196WA (zh)
TW (3) TWI658168B (zh)
WO (2) WO2016065221A1 (zh)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102326396B1 (ko) 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
WO2017070192A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR20190011817A (ko) * 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
CN111902359A (zh) 2018-02-21 2020-11-06 乔治洛德方法研究和开发液化空气有限公司 全氢聚硅氮烷组合物和用于使用其形成氧化物膜的方法
SG11202006237RA (en) * 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
WO2019241763A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane compositions and methods for using the compositions to deposit silicon containing films
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN110952074B (zh) * 2018-08-10 2023-06-13 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
WO2020257550A1 (en) * 2019-06-21 2020-12-24 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
KR20070052761A (ko) * 2004-08-20 2007-05-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화 규소 막의 제조방법
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
CN102534548A (zh) * 2010-12-09 2012-07-04 气体产品与化学公司 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷
CN103450242A (zh) * 2012-06-01 2013-12-18 气体产品与化学公司 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
EP0218117A3 (en) 1985-10-11 1989-11-23 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
JPH0662775B2 (ja) 1987-06-12 1994-08-17 チッソ株式会社 新規ポリシラザン及びその製造方法
JP3060185B2 (ja) * 1991-05-01 2000-07-10 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造方法
JPH06310493A (ja) * 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
JP3401322B2 (ja) * 1993-08-26 2003-04-28 富士通株式会社 絶縁膜を有する半導体装置の製造方法
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
KR100533198B1 (ko) 2000-08-18 2005-12-05 동경 엘렉트론 주식회사 저유전성 질화규소막 및 그 형성 방법, 반도체 장치 및 그제조 방법
JP2003203800A (ja) * 2001-09-14 2003-07-18 Sekisui Chem Co Ltd 常圧プラズマ処理方法および装置
US6969769B2 (en) * 2002-06-14 2005-11-29 Vanson Halosource, Inc. N-halamine siloxanes for use in biocidal coatings and materials
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
DE102004001288A1 (de) 2004-01-07 2005-08-11 Clariant International Limited Hydrophile Beschichtung auf Polysilazanbasis
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050239295A1 (en) * 2004-04-27 2005-10-27 Wang Pei-L Chemical treatment of material surfaces
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
CN100595317C (zh) * 2004-10-19 2010-03-24 东丽株式会社 薄膜的制造方法和薄膜
JP3788624B1 (ja) * 2005-01-18 2006-06-21 旭電化工業株式会社 シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20100104755A1 (en) * 2005-06-29 2010-04-29 Christian Dussarrat Deposition method of ternary films
WO2007008653A2 (en) * 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US9337054B2 (en) 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5559988B2 (ja) * 2009-06-03 2014-07-23 東京エレクトロン株式会社 シリコン酸化膜用成膜原料およびそれを用いたシリコン酸化膜の成膜方法
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
KR101752901B1 (ko) * 2009-08-25 2017-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 방법, 재생 반도체 기판의 제작 방법, 및 soi 기판의 제작 방법
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8455768B2 (en) * 2010-11-15 2013-06-04 International Business Machines Corporation Back-end-of-line planar resistor
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8530983B2 (en) * 2011-04-08 2013-09-10 Georgia Tech Research Corporation Piezo-phototronic effect devices
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
KR101615584B1 (ko) * 2011-11-21 2016-04-26 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
KR20140138272A (ko) 2012-03-09 2014-12-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US20130260575A1 (en) 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
DE102012214290A1 (de) * 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9878234B2 (en) * 2012-12-10 2018-01-30 Arlen Moller Incorporating objective assessments of fantasy-team-owners' physical activity into fantasy sport platforms
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
KR101599952B1 (ko) 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
KR101600337B1 (ko) 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
KR102326396B1 (ko) * 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160156066A1 (en) * 2014-10-20 2016-06-02 Massachusetts Institute Of Technology Polymer electrolytes for electrochemical cells
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
WO2017070192A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
IL260069B2 (en) * 2015-12-21 2024-02-01 Versum Mat Us Llc Preparations and methods using them for depositing a silicon-containing layer
US20190055645A1 (en) 2016-02-26 2019-02-21 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
CN111295465B (zh) * 2017-09-14 2022-12-09 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物和方法
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US11078569B2 (en) * 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
EP3990676A4 (en) * 2019-07-25 2023-07-05 Versum Materials US, LLC COMPOSITIONS COMPRISING SILACYCLOALKANES AND METHODS USING THEM FOR DEPOSITING A FILM CONTAINING SILICON

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
KR20070052761A (ko) * 2004-08-20 2007-05-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 질화 규소 막의 제조방법
CN102191479A (zh) * 2010-02-04 2011-09-21 气体产品与化学公司 制备含硅膜的方法
CN102534548A (zh) * 2010-12-09 2012-07-04 气体产品与化学公司 用于CVD和ALD Si02薄膜的氨基乙烯基硅烷
CN103450242A (zh) * 2012-06-01 2013-12-18 气体产品与化学公司 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Also Published As

Publication number Publication date
CN107257867A (zh) 2017-10-17
CN107257867B (zh) 2021-03-16
EP3209814B1 (en) 2018-09-05
US20190017167A1 (en) 2019-01-17
US10316407B2 (en) 2019-06-11
JP2020014007A (ja) 2020-01-23
CN107429391A (zh) 2017-12-01
SG10202000545RA (en) 2020-03-30
JP2017535077A (ja) 2017-11-24
CN107429391B (zh) 2021-06-25
SG11201703196WA (en) 2017-05-30
EP3209814A1 (en) 2017-08-30
CN113025992A (zh) 2021-06-25
JP2017533589A (ja) 2017-11-09
TW201720953A (zh) 2017-06-16
KR102188751B1 (ko) 2020-12-08
EP3431629B1 (en) 2021-11-24
CN113025992B (zh) 2024-02-02
JP6949912B2 (ja) 2021-10-13
US20190271075A1 (en) 2019-09-05
EP3431629A1 (en) 2019-01-23
WO2016065221A1 (en) 2016-04-28
TWI575102B (zh) 2017-03-21
TW201615886A (zh) 2016-05-01
KR20170074958A (ko) 2017-06-30
US20170335449A1 (en) 2017-11-23
SG11201703195QA (en) 2017-05-30
KR102079501B1 (ko) 2020-02-20
KR102332415B1 (ko) 2021-12-01
KR20200137054A (ko) 2020-12-08
US20170338109A1 (en) 2017-11-23
JP7152576B2 (ja) 2022-10-12
WO2016065219A1 (en) 2016-04-28
CN113373428A (zh) 2021-09-10
TW201615880A (zh) 2016-05-01
EP3209813B1 (en) 2019-03-13
TWI658168B (zh) 2019-05-01
TWI579399B (zh) 2017-04-21
EP3209813A1 (en) 2017-08-30
JP6871161B2 (ja) 2021-05-12
KR20170075766A (ko) 2017-07-03
JP2022000913A (ja) 2022-01-04
US10106890B2 (en) 2018-10-23

Similar Documents

Publication Publication Date Title
CN113373428B (zh) 组合物和使用所述组合物沉积含硅膜的方法
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
KR102613423B1 (ko) 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
CN109072426B (zh) 组合物和使用其沉积含硅膜的方法
CN110023535B (zh) 用于制造低k膜以填充表面特征的前体和可流动cvd法
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant