KR102079501B1 - 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 - Google Patents

규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR102079501B1
KR102079501B1 KR1020177013994A KR20177013994A KR102079501B1 KR 102079501 B1 KR102079501 B1 KR 102079501B1 KR 1020177013994 A KR1020177013994 A KR 1020177013994A KR 20177013994 A KR20177013994 A KR 20177013994A KR 102079501 B1 KR102079501 B1 KR 102079501B1
Authority
KR
South Korea
Prior art keywords
plasma
branched
linear
groups
alkyl groups
Prior art date
Application number
KR1020177013994A
Other languages
English (en)
Other versions
KR20170075766A (ko
Inventor
지안헹 리
존 프란시스 레만
신지안 레이
레이몬드 니콜라스 브르티스
로버트 고든 리즈웨이
윌리엄 로버트 엔트리
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20170075766A publication Critical patent/KR20170075766A/ko
Application granted granted Critical
Publication of KR102079501B1 publication Critical patent/KR102079501B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Silicon Polymers (AREA)

Abstract

표면 피쳐를 지니는 기판의 적어도 하나의 표면 상에 규소-함유 필름, 예컨대, 제한 없이, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 탄소-도핑된 실리콘 니트라이드, 또는 탄소-도핑된 실리콘 옥사이드 필름을 형성시키기 위한 조성물 및 이를 사용하는 방법이 본원에 기재된다. 한 가지 양태에서, 조성물은 실록산, 트리실릴아민-기반 화합물, 오가노아미노디실란 화합물, 및 사이클릭 트리실라잔 화합물로 이루어진 군으로부터 선택된 하나 이상의 화합물을 포함한다.

Description

규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법{COMPOSITIONS AND METHODS USING SAME FOR DEPOSITION OF SILICON-CONTAINING FILM}
관련 출원에 대한 상호 참조
본 출원은 2014년 10월 24일자 출원된 출원 제62/068,248호의 우선권을 주장한다. 상기 출원 제62/068,248호의 개시 내용은 본원에 참조로 포함된다.
본원에는 전자 장치의 제작 방법이 기재된다. 더욱 특히, 본원에는 증착 공정, 예컨대, 제한 없이, 유동형 화학적 기상 증착(flowable chemical vapor deposition)에서 규소-함유 필름을 형성시키기 위한 조성물이 기재된다. 본원에 기재된 조성물 및 방법을 이용하여 증착될 수 있는 예시적인 규소-함유 필름은, 제한 없이, 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드 또는 탄소-도핑된 실리콘 옥사이드 또는 탄소-도핑된 실리콘 니트라이드 필름을 포함한다.
유동형 옥사이드 증착 방법은 전형적으로, 제어되는 가수분해 및 축합 반응에 의해 증착되는 규소-함유 필름을 위한 전구체로서 알콕시실란 화합물을 사용하는 것이다. 그러한 필름은 기판(substrate) 상에, 예를 들어, 물 및 알콕시실란과 임의로 용매 및/또는 다른 첨가제, 예컨대, 계면활성제 및 포로겐(porogen)의 혼합물을 기판 상에 적용함으로써 증착될 수 있다. 이러한 혼합물의 적용을 위한 전형적인 방법은, 제한 없이, 스핀 코팅(spin coating), 딥 코팅(dip coating), 분무 코팅(spray coating), 스크린 인쇄(screen printing), 공축합(co-condensation), 및 잉크 젯 인쇄(ink jet printing)를 포함한다. 기판에 대한 적용 후에 그리고 하나 이상의 에너지 공급원, 예컨대, 제한 없이, 열, 플라즈마, 및/또는 다른 공급원의 적용 시에, 혼합물 중의 물은 알콕시 실란과 반응하여 알콕사이드 및/또는 아릴옥사이드 기를 가수분해시키고, 실란올 화학종을 생성시키는데, 이는 추가로 다른 가수분해된 분자와 축합되고, 올리고머 또는 네트워크 구조를 형성시킨다.
기판에 대한 전구체의 물리적 증착 또는 적용 외에, 유동형 유전체 증착(flowable dielectric deposition: FCVD)을 위한 규소 함유 증기 공급원 및 물을 사용하는 기상 증착 공정은, 예를 들어, 미국 특허 제8,481,403호; 제8,580,697호; 제8,685,867호; 미국 공보 제2013/0230987 A1호; 제7,498,273호; 제7,074,690호; 제7,582,555호; 제7,888,233호; 및 제7,915,131호에 기재되어 있다. 전형적인 방법은 일반적으로 갭(gap)에서 유동형 필름을 형성시킴으로써 고형 유전 물질로 기판 상에 갭을 충전시키는 것에 관한 것이다. 유동형 필름은 Si-C 결합을 지닐 수 있는 유전 전구체를 산화제와 반응시켜 유전 물질을 형성시킴으로써 형성된다. 특정 구체예에서, 유전 전구체는 축합되고, 이어서 산화제와 반응하여 유전 물질을 형성시킨다. 특정 구체예에서, 증기 상 반응물이 반응하여 축합된 유동형 필름을 형성시킨다. Si-C 결합은 물과의 반응에 대해 비교적 불활성이기 때문에, 반응물 네트워크는, 요망되는 화학적 및 물리적 특성을 생성된 필름에 부여하는 유기 작용기로 유리하게 작용화될 수 있다. 예를 들어, 네트워크에 대한 탄소의 첨가는 생성된 필름의 유전 상수를 낮출 수 있다.
유동형 화학적 기상 증착 공정을 이용하여 실리콘 옥사이드를 증착시키는 또 다른 접근법은 기체상 중합이다. 예를 들어, 종래 기술은 트리실릴아민(TSA)과 같은 화합물을 사용하여 Si, H, N 함유 올리고머를 증착시키고, 이를 이어서 오존 노출을 이용하여 SiOx 필름으로 산화시키는 것에 초점을 맞췄다. 그러한 접근법의 예는 미국 공보 제2014/073144호; 미국 공보 제2013/230987호; 미국 특허 제7,521,378호, 미국 제7,557,420호, 및 제8,575,040호; 및 미국 특허 제7,825,040호를 포함한다.
참조 논문["Novel Flowable CVD Process Technology for sub-20nm Interlayer Dielectric", H. Kim et al., Interconnect Technology Conference (IITC), 2012 IEEE International, San Jose, CA]에는 저온 증착 동안 원격 플라즈마를 이용한 유동형 CVD 공정 및 오존 처리로의 필름 안정화가 기재되어 있다. 또한, 상기 참조 논문에는 Si 또는 전극을 산화시키지 않는 유동형 CVD 공정으로서 산화 또는 확산 장벽으로서 Si3N4 스톱퍼 층의 제거를 야기하는 것이 기재되어 있다. 20nm DRAM ILD에 대한 유동형 CVD의 적용 후에, 출원인은 비트-라인(Bit-line)의 부하 커패시턴스(loading capacitance)를 15%까지 감소시킬 뿐만 아니라 필적가능한 생산성을 향상시킬 수 있다. 20nm 이하 DRAM ILD 갭-충전 공정의 성공적인 개발을 통해, 유동형 CVD는 20nm 이하 차세대 장치에서 대량 생산-가능한 ILD에 대한 유망되는 후보자로서 성공적으로 입증되었다.
최근 유동형 화학적 기상 증착 및 다른 필름 증착 공정과 관련된 기술의 활기에도 불구하고, 문제가 여전히 남아있다. 이러한 문제들 중 하나는 필름 조성과 관련된다. 예를 들어, 기체 상 중합 공정에서 전구체 트리실릴아민 (TSA)으로부터 증착된 유동형 옥사이드 필름은 고밀도의 Si-H 결합을 지니는 필름을 수득하고, 고품질의 열적 옥사이드보다 2.2 내지 2.5배 더 신속한 희석 HF 용액 중의 습윤 에칭률을 지닌다. 따라서, 더 낮은 Si-H 결합 밀도, 더 낮은 필름 에칭률, 또는 이들의 조합을 지니는 규소-함유 필름을 생산하기 위해서 대안적인 전구체 화합물이 필요하다.
본원에 기재된 조성물 또는 포뮬레이션 및 이를 사용하는 방법은 기판 표면의 적어도 일부 상에 규소-함유 필름을 증착시킴으로써 종래 기술의 문제를 해결하고, 이는 산소-함유 공급원으로의 후속-증착 처리 시에 요망되는 필름 특성을 제공한다. 특정 구체예에서, 기판은 표면 피쳐(surface feature)를 포함한다. 본원에서 사용되는 용어 "표면 피쳐"는 기판이 다음 기공, 트렌치(trench), 쉘로우 트렌치 분리(shallow trench isolation: STI), 비아(via), 또는 요각 피쳐(reentrant feature) 등 중 하나 이상을 포함한다는 것을 의미한다. 조성물은 예비-혼합된 조성물, 예비-혼합물(증착 공정에 사용되기 전에 혼합됨), 또는 동일-반응계 혼합물(in-situ mixture)(증착 공정 동안 혼합됨)일 수 있다. 따라서, 본 개시 내용에서, 용어 "혼합물", "포뮬레이션", 및 "조성물"은 교체가능하다.
한 가지 양태에서, 규소-함유 필름을 증착시키기 위한 조성물은 표면 피쳐를 포함하는 기판의 적어도 하나의 표면 상에
(a) 하기 화학식 IA, IB, IC, ID, IE, 및 IF로 이루어진 군으로부터 선택된 실록산 화합물,
(b) 하기 화학식 IIA, IIB, IIC, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물,
(c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물, 및
(d) 하기 화학식 IV를 지니는 사이클로실라잔 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물을 포함한다:
Figure 112017049202100-pct00001
Figure 112017049202100-pct00002
Figure 112017049202100-pct00003
Figure 112017049202100-pct00004
Figure 112017049202100-pct00005
상기 화학식 IIA, IIB, IIC 및 IID에서, 치환체 R은 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고;
상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹(linking)되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이고;
상기 화학식 IV에서, 치환체 R1, R2, 및 R3는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로, R1, R2, 및 R3 중 어느 하나 이상은 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있다. 한 가지 특정 구체예에서, 조성물은 실록산 화합물을 포함한다. 또 다른 특정 구체예에서, 조성물은 트리실릴아민-기반 화합물을 포함한다. 추가의 구체예에서, 조성물은 오가노아미노디실란을 포함한다. 또 다른 추가의 구체예에서, 조성물은 사이클로실라잔 화합물을 포함한다.
또 다른 양태에서, 규소-함유 필름을 증착시키기 위한 방법으로서, 방법이
표면 피쳐를 포함하는 기판을 반응기에 넣되, 기판을 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도로 유지시키고, 반응기의 압력을 100 torr 또는 그 미만으로 유지시키고;
(a) 하기 화학식 IA, IB, IC, ID, IE, 및 IF로 이루어진 군으로부터 선택된 실록산 화합물,
(b) 하기 화학식 IIA, IIB, IIC, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물,
(c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물, 및
(d) 하기 화학식 IV를 지니는 사이클로실라잔 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물 및 질소 공급원을 반응기로 도입하고, 여기서 적어도 하나의 화합물지 질소 공급원과 반응하여 표면 피쳐의 적어도 일부 상에 실리콘 니트라이드 함유 필름을 형성시키고;
약 20℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 산소 공급원으로 기판을 처리하여 표면 피쳐의 적어도 일부 상에 실리콘 옥사이드 필름을 형성시킴을 포함하는 방법이 제공된다:
Figure 112017049202100-pct00006
Figure 112017049202100-pct00007
Figure 112017049202100-pct00008
Figure 112017049202100-pct00009
Figure 112017049202100-pct00010
상기 화학식 IIA, IIB, IIC 및 IID에서, 치환체 R은 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고;
상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이고;
상기 화학식 IV에서, 치환체 R1, R2, 및 R3는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로, R1, R2, 및 R3 중 어느 하나 이상은 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있다.
도 1은 본원에 기재된 방법 및 조성물을 사용하여 실시예 1에서 증착된 필름에 대한 단면 주사 전자 현미경(scaning electron microscopy: SEM) 이미지 및 기판의 특정 표면 피쳐에 대한 이의 영향을 제공한 것이다.
도 2는 본원에 기재된 방법 및 조성물을 사용하여 실시예 2에서 증착된 실리콘 카보니트라이드 필름 상의 단면 주사 전자 현미경(SEM) 이미지 및 기판의 특정 표면 피쳐에 대한 이의 영향을 제공한 것이다.
본원에는 하나 이상의 표면 피쳐를 포함하는 기판의 적어도 일부 상에 화학적 기상 증착 (CVD) 공정을 통해 유동형 옥사이드 필름을 증착시키기 위한 전구체 및 이를 사용하는 방법이 기재된다. 특정 종래 기술은 전구체 트리실릴아민 (TSA)을 사용하는데, 이는 가스로서 반응 챔버로 전달되고, 암모니아와 혼합되고, 원격 플라즈마 반응기에서 활성화되어 NH2, NH, H 및 또는 N 라디칼 또는 이온을 발생시킨다. TSA는 플라즈마 활성화된 암모니아와 반응하고, 올리고머화되기 시작하여 더 높은 분자량의 TSA 이량체 및 삼량체 또는 Si, N 및 H를 함유하는 다른 화학종을 형성시킨다. 기판은 반응기에 넣어지고, 특정 챔버 압력에서 약 0 내지 약 50℃ 범위의 하나 이상의 온도로 냉각되고, TSA/활성화된 암모니아 혼합물 및 올리고머는 트렌치 표면 피쳐를 충전시키도록 "유동"될 수 있는 방식으로 웨이퍼 표면 상에서 축합되기 시작한다. 이러한 방식으로, Si, N 및 H를 함유하는 물질은 웨이퍼 상에서 증착되고, 트렌치를 충전시킨다. 특정 구체예에서, 예비-어닐(pre-anneal) 단계는 필름이 더 많은 SiN-유사형이 될 수 있도록 수행된다. 다음 공정 단계가 오존 또는 물을 사용한 100 내지 700℃ 범위의 하나 이상의 온도에서의 산화이기 때문에 SiN 물질을 지니는 것이 바람직하다. SiN 결합 거리 및 각도 때문에, SiN가 SiO2로 산화됨에 따라서 단위 셀 부피 증가로 필름을 신축(shrinking)으로부터 방지하는 것이 알려져 있다. 필름 신축은 인장 응력을 유발하는데 이것이 희석 HF 용액에서 필름의 습윤 에칭률을 증가시키기 때문에 바람직하지 않다. 또한, Si-H 함량을 최소화시키는 것은 일반적으로 오존을 사용하연 고밀도 필름을 충분히 산화시키는 것이 어렵고 및 잔여 Si-H 함량이 또한 습윤 에칭률의 증가를 야기할 수 있기 때문에 바람직하다. 따라서, 필름 신축을 최소화시키고, 인장 응력을 감소시키고, Si-H 함량을 최소화시키고/거나 필름의 습윤 에칭률에 불리하게 영향을 미치지 않는 방법 및 조성물을 제공하는 것이 당해 기술 분야에 필요하다.
본원에 기재된 방법 및 조성물은 다음 목적들 중 하나 이상을 달성한다. 특정 구체예에서, 본원에 기재된 방법 및 조성물은 Si-C 결합을 지니는 전구체 화합물을 사용하는 것을 방지하는데, 그 이유는 이러한 결합이 실리콘 니트라이드 필름을 형성시키는 도입 단계에서 제거하기 어렵고, 산화 단계에서 필름 신축을 초래하고/거나 산화된 필름에서 결함을 초래할 수 있기 때문이다. 이러한 또는 다른 구체예에서, 본원에 기재된 방법 및 조성물은 추가로 전구체에서 수소에 대한 규소의 비율을 증가시키는 고리 구조를 도입함으로써 규소에 대한 헤테로 원자의 비율을 증가시킴으로써 필름의 SiH 함량을 감소시킨다. 또한, 본원에 기재된 방법 및 조성물은 모노머로서 웨이퍼 표면 상에 축합된 후 예를 들어 질소-기반 플라즈마, 예컨대, 암모니아 NH3 또는 수소 및 질소를 포함하는 플라즈마를 사용하여 표면 상에서 중합될 수 있는 TSA보다 높은 비점을 지니는 전구체 화합물을 사용한 후, 산소-함유 공급원, 예컨대, 오존, 산소 또는 물로 처리하여 옥사이드를 형성시킴으로써 올리고머화 공정(예를 들어, 실리콘 니트라이드 필름을 형성시키는 방법의 도입 단계)을 제어하는 것을 돕는다. 방법의 특정 구체예에서, 펄스화된 공정은 축합 및 플라즈마 중합을 교대함으로써 실리콘 니트라이드 필름 두께를 서서히 성장시키는데 사용될 수 있다. 이러한 구체예에서, 펄스화된 공정은 더 얇은 필름(예를 들어, 10 나노미터(nm) 또는 그 미만)을 성장시키는데, 이는 처리 단계에서 산소 공급원에 대한 노출 시에 더 높은 밀도의 실리콘 옥사이드 필름을 생성시킬 수 있다.
특정 구체예에서, 본원에 기재된 조성물은
(a) 하기 화학식 IA, IB, IC, ID, IE, 및 IF로 이루어진 군으로부터 선택된 실록산 화합물,
(b) 하기 화학식 IIA, IIB, IIC, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물,
(c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물, 및
(d) 하기 화학식 IV를 지니는 사이클로실라잔 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물을 포함한다:
Figure 112017049202100-pct00011
Figure 112017049202100-pct00012
Figure 112017049202100-pct00013
Figure 112017049202100-pct00014
IV
상기 화학식 IIA, IIB, IIC 및 IID에서, 치환체 R은 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고;
상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이고;
상기 화학식 IV에서, 치환체 R1, R2, 및 R3는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로, R1, R2, 및 R3 중 어느 하나 이상은 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있다.
전구체 화합물이 실록산 전구체를 포함하는 구체예에서, 실록산 전구체의 예는 디 및 트리실록산 및 이들의 조합물, 예컨대, 화학식 IA-ID에 제공된 것들을 포함하지만, 이로 제한되지 않는다. 추가의 구체예에서는 실록산 피쳐가 실라잔 피쳐, 예컨대, 화학식 IE 및 IF에서와 같은 것들과 조합된다. 이론으로 국한시키려는 것은 아니지만, 본원에 기재된 실록산 전구체는 반응하여 옥시니트라이드 유사 필름을 형성시키고, 보다 우수한 유동성 및 Si-H 결합을 제거하는 산화의 용이함을 제공하는 2차원으로 발달되는 것으로 사료된다. 추가로, 이러한 구체예에서, 탄소의 부제는 필름 경화 동안 필름 신축의 감소를 도울 것이다.
다른 구체예에서, 전구체 화합물은 화학식 IIA-IID에 나타나 있는 화합물과 같은 트리실릴아민 (TSA) - 기반 화합물을 포함한다. 이러한 화합물의 한 가지 예는 비스(디실릴아미노)실란 (IIB)을 포함한다. 이러한 구체예의 또 다른 예는 화학식 IIC 화합물 트리스(에틸실릴)아민이고, 여기서 에틸렌은 유동형 화학적 기상 증착 (FCVD)에서 이탈 기로서 작용하여 전구체에서 Si-H 함량을 감소시키는 동시에 추가의 Si 반응성 부위를 생성시킨다. 이론으로 국한시키려는 것은 아니지만, 이러한 구체예에서, 질소 공급원의 존재하에 도입 단계에서 실란의 제거를 통해 형성된 TSA의 더 높은 차수의 올리고머 (2X-4X)는 전구체 및 후속 필름에서 Si에 대한 H의 비율을 감소시키는데, 이는 이후 증착되고 경화된 필름에서 Si-H 결합 밀도를 감소시킬 것으로 사료된다.
대안적인 구체예에서, 전구체 화합물은 화학식 III에 나타나 있는 화합물과같은 오가노아미노디실란 화합물을 포함한다. 그러한 화합물의 예는 디-2차부틸아미노디실란이다. 이론으로 국한시키려는 것은 아니지만, Si-Si 결합에서 산소의 삽입이 필름의 부피를 증가시켜 필름 경화 동안의 부피 손실을 상쇄시킬 수 있기 때문에 이러한 전구체는 직접적으로 옥사이드로 전환될 수 있다. 이는 오존과 같은 산소 공급원에 대한 노출 시에 팽창되는 Si-Si 결합을 함유하지 않는 Si-N-H 필름 네트워크를 생성시키는데 사용되는 TSA의 사용과는 상이하다.
또 다른 추가의 구체예에서, 전구체 화합물은 치환된 사이클릭트리실라잔 전구체(들)를 포함하고, 화학식 IV에서 이러한 전구체(들)의 예는 다음 구조 중 하나를 지니는 화합물을 포함한다: 1,3,5-트리메틸-1,3,5-트리아자-2,4,6-트리실라-사이클로헥산. 이러한 구체예에서, 고리 구조는 필름 중의 SiH 함량을 감소시킬 것이고, 이는 더 높은 밀도의 옥사이드 필름을 형성시키는데 유리한 것으로 사료된다.
본원에 기재된 규소 전구체 화합물은 다양한 방식으로 CVD 또는 ALD 반응기와 같은 반응 챔버로 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 조합된 액체 전달 및 플래시 증발 공정 기기, 예를 들어, MSP Corporation(Shoreview, MN)에 의해 제작된 터보 증발기가 사용되어 저휘발성 물질을 용량적으로 전달되게 할 수 있고, 이는 전구체의 열분해 없이 재현가능한 수송 및 증착을 유도한다. 액체 전달 포뮬레이션에서, 본원에서 기술되는 전구체는 순수 액체 형태로 전달될 수 있거나, 대안적으로 이를 포함하는 용매 포뮬레이션 또는 조성물에 사용될 수 있다. 따라서, 특정 구체예들에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위해 제시되는 최종 사용 용도에서 바람직할 수 있고 유리할 수 있으므로 적합한 특징의 용매 성분(들)을 포함할 수 있다.
규소 전구체 화합물은 바람직하게는 할라이드 이온, 예컨대, 클로라이드 또는 금속 이온, 예컨대, Al을 실질적으로 함유하지 않는다. 본원에서 사용되는 용어 "~을 실질적으로 함유하지 않는"은 할라이드 이온(또는 할라이드), 예컨대, 클로라이드 및 플루오라이드, 브로마이드, 아이오다이드, Al3 + 이온, Fe2+, Fe3 +, Ni2 +, Cr3+와 관한 것이라면 5 ppm (중량) 미만, 바람직하게는 3 ppm 미만, 더욱 바람직하게는 1 ppm 미만, 가장 바람직하게는 0 ppm을 의미한다. 클로라이드 또는 금속 이온은 규소 전구체에 대한 분해 촉매로서 작용하는 것으로 알려져 있다. 최종 생성물 중의 상당 수준의 클로라이드는 규소 전구체가 분해되게 할 수 있다. 규소 전구체의 점진적인 분해는 반도체 제조업체가 필름 규격을 충족시키기 어렵도록 필름 증착 공정에 직접적으로 영향을 미칠 수 있다. 또한, 저장 수명 또는 안정성은 규소 전구체의 분해 속도를 더 높게 하여 불리하게 영향을 받아서 1-2년 저장-수명을 보장하기 어렵게 만든다. 더욱이, 규소 전구체는 수소 및 실란과 같이 분해 시에 가연성 및/또는 발화성 가스를 형성시키는 것으로 알려져 있다. 따라서, 규소 전구체의 가속화된 분해는 이러한 가연성 및/또는 발화성 가스 부산물의 형성과 관련된 안전성 및 성능 문제를 제기한다.
할라이드를 실질적으로 함유하지 않는 본 발명에 따른 조성물은 (1) 화학적 합성 동안 클로라이드 공급원을 감소시키거나 없애고/거나, (2) 효과적인 정제 공정을 수행하여 최종 정제된 생성물이 클로라이드를 실질적으로 함유하지 않도록 미정제 생성물로부터 클로라이드를 제거함으로써 달성될 수 있다. 클로라이드 공급원은 할라이드, 예컨대, 클로로디실란, 브로모디실란, 또는 아이오도디실란을 함유하지 않는 시약을 사용하거나, 이에 의해서 할라이드 이온을 함유하는 부산물의 생성을 방지함으로써 합성 동안 감소될 수 있다. 또한, 상기 언급된 시약은 생성된 미정제 생성물이 클로라이드 불순물을 실질적으로 함유하지 않도록 클로라이드 불순물을 실질적으로 함유하지 않아야 한다. 유사한 방식으로, 합성은 할라이드 기반 용매, 촉매, 또는 허용불가능한 고수준의 할라이드 오염물을 함유하는 용매를 사용하지 않아야 한다. 미정제 생성물은 또한 다양한 정제 방법으로 처리되어 최종 생성물이 클로라이드와 같은 할라이드를 실질적으로 함유하지 않게 할 수 있다. 그러한 방법은 종래 기술에 잘 개시되어 있으며, 증류 또는 흡착과 같은 정제 공정을 포함할 수 있지만, 이로 제한되지 않는다. 증류는 비점 차이를 이용함으로써 요망되는 생성물로부터 불순물을 분리하는데 흔히 사용된다. 흡착은 또한 최종 생성물이 할라이드를 실질적으로 함유하지 않도록 성분들의 상이한 흡수 특성을 이용하여 분리를 야기하는데 사용될 수 있다. 예를 들어, 상업적으로 입수가능한 MgO-Al2O3와 같은 흡착제는 클로라이드와 같은 할라이드를 제거하는데 사용될 수 있다.
용매(들) 및 본원에 기재된 적어도 하나의 화합물을 포함하는 조성물과 관련된 그러한 구체예의 경우, 선택된 용매 또는 이들의 혼합물은 규소 화합물과 반응하지 않는다. 조성물 중의 용매의 양(중량%)은 0.5중량% 내지 99.5중량% 또는 10중량% 내지 75중량%의 범위이다. 이러한 또는 다른 구체예에서, 용매는 규소 전구체, 즉, 화학식 I, II, III, 및 IV의 전구체와 유사한 비점 (b.p.)을 지니거나, 용매의 b.p.와 규소 전구체, 즉, 화학식 II의 전구체의 차이는 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 10℃ 또는 그 미만, 또는 50℃ 또는 그 미만이다. 대안적으로, 비점의 차이는 다음 종말점들 중 어떠한 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위의 예는 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 제한 없이 포함한다. 조성물 중의 적합한 용매의 예는 에테르 (예컨대, 1,4-디옥산, 디부틸 에테르), 3차 아민 (예컨대, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴 (예컨대, 벤조니트릴), 알킬 탄화수소 (예컨대, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소 (예컨대, 톨루엔, 메시틸렌), 3차 아미노에테르 (예컨대, 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 일부 비-제한적 예시적인 조성물은 비스(디실릴아미노)실란 (b.p. 약 135℃) 및 옥탄 (b.p. 125 내지 126℃)을 포함하는 조성물; 비스(디실릴아미노)실란 (b.p. 약 135℃) 및 에틸사이클로헥산 (b.p. 130-132℃)을 포함하는 조성물; 비스(디실릴아미노)실란 (b.p. 약 135℃) 및 톨루엔 (b.p. 115℃)을 포함하는 조성물; 비스(디실릴아미노)실란 (b.p. 약 135℃)및 사이클로옥탄 (b.p. 149℃)을 포함하는 조성물을 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "선형 알킬"은 1 내지 10 개, 3 내지 10개 또는 1 내지 6개의 탄소 원자를 지니는 선형 작용기를 나타낸다. 상기 화학식에서 그리고 명세서 전반에 걸쳐 "분지형 알킬"은 3 내지 10개, 또는 1 내지 6개의 탄소 원자를 지니는 선형 작용기를 나타낸다. 예시적인 선형 알킬 기는 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기를 포함하지만, 이로 제한되지 않는다. 예시적인 분지형 알킬 기는 이소프로필, 이소부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소헥실 및 네오헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 알킬기는 이에 결합되는 하나 이상의 작용기, 예컨대, 이로 제한되는 것은 아니지만, 알콕시기, 디알킬아미노기 또는 이들의 조합물을 지닐 수 있다. 다른 구체예에서, 알킬기는 이에 결합되는 하나 이상의 작용기를 지니지 않는다. 알킬 기는 포화되거나, 대안적으로 불포화될 수 있다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "할라이드"는 클로라이드, 브로마이드, 아이오다이드, 또는 플루오라이드 이온을 나타낸다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "사이클릭 알킬"은 3 내지 10개 또는 5 내지 10개의 원자를 지니는 사이클릭 기를 나타낸다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 사이클릭 알킬 기는 하나 이상의 C1 내지 C10 선형, 분지형 치환체, 또는 산소 또는 질소 원자를 함유하는 치환체를 지닐 수 있다. 이러한 또는 다른 구체예에서, 사이클릭 알킬 기는 치환체로서 하나 이상의 선형 또는 분지형 알킬 또는 알콕시 기, 예컨대, 메틸사이클로헥실 기 또는 메톡시사이클로헥실 기를 지닐 수 있다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "아릴"은 3 내지 10개의 탄소 원자, 5 내지 10개의 탄소 원자, 또는 6 내지 10개의 탄소 원자를 지니는 방향족 사이클릭 작용 기를 나타낸다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 지니고 2 내지 12개, 2 내지 10개, 또는 2 내지 6개의 탄소 원자를 지니는 기를 나타낸다. 예시적인 알케닐 기는 비닐 또는 알릴 기를 포함하지만, 이로 제한되지 않는다.
용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 지니고 2 내지 12개 또는 2 내지 6개의 탄소 원자를 지니는 기를 나타낸다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 본원에서 사용되는 용어 "불포화된"은 작용기, 치환체, 고리 또는 브릿지(bridge)가 하나 이상의 탄소 이중 또는 삼중 결합을 지니는 것을 의미한다. 불포화된 고리의 예는, 제한 없이, 방향족 고리, 예컨대, 페닐 고리일 수 있다. 용어 "포화된"은 작용기, 치환체, 고리 또는 브릿지가 하나 이상의 이중 또는 삼중 결합을 지니지 않는 것을 의미한다.
특정 구체예에서, 화학식에서 알킬 기, 알케닐 기, 알키닐 기, 아릴 기, 및/또는 방향족 기 중 하나 이상은 예를 들어 수소 원자 대신에 "치환되거나" 치환된 하나 이상의 원자 또는 원자의 기를 지닐 수 있다. 예시적인 치환체는 산소, 황, 할로겐 원자 (예, F, Cl, I, 또는 Br), 질소, 알킬 기, 및 인을 포함하지만, 이로 제한되지 않는다. 다른 구체예에서, 화학식에서 알킬 기, 알케닐 기, 알키닐 기, 방향족 및/또는 아릴 기 중 하나 이상은 비치환될 수 있다.
특정 구체예에서, 상기 기재된 화학식에서 치환체 R1, R2 및 R3 중 하나 이상은 이들이 수소가 아닐 경우에 상기 화학식에서 C-C 결합과 링킹되어 고리 구조를 형성할 수 있다. 당업자는 치환체가 선형 또는 분지형 C1 내지 C10 알킬렌 모이어티; C2 내지 C12 알케닐렌 모이어티; C2 내지 C12 알키닐렌 모이어티; C4 내지 C10 사이클릭 알킬 모이어티; 및 C6 내지 C10 아릴렌 모이어티로부터 선택될 수 있음을 이해할 것이다. 이러한 구체예에서, 고리 구조는 불포화된 예를 들어 사이클릭 알킬 고리, 또는 포화된 예를 들어 아릴 고리일 수 있다. 추가로, 이러한 구체예에서, 고리 구조는 또한 치환되거나 비치환될 수 있다. 다른 구체예에서, 치환체 R1, R2 및 R3 중 어떠한 하나 이상은 링킹되지 않는다.
본원에 기재된 필름 또는 코팅을 형성시키는데 이용되는 방법은 증착 공정이다. 본원에 개시된 방법에 대한 적합한 증착 공정의 예는 화학적 기장 증착 (CVD) 또는 플라즈마 강화 사이클릭 CVD (plasma enhanced cyclic CVD: PECCVD) 공정을 포함하지만, 이로 제한되지 않는다. 본원에서 사용되는 용어 "화학적 증기 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되어, 휘발성 전구체가 기판 표면 상에서 반응하고/거나 분해되어 필름 또는 물질을 생성시키는 어떠한 공정을 지칭한다. 본원에서 사용되는 전구체, 시약 및 공급원가 종종 "가스상"으로 기재될 수 있지만, 전구체는 직접 증발, 버블링 또는 승화를 통해 불활성 가스에 의하거나 또는 의하지 않고 반응기에 운반되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 경우에, 증발된 전구체는 플라즈마 발생기를 거쳐 통과될 수 있다. 한 가지 구체예에서, 필름은 플라즈마-기반 (예, 원격 발생되거나 동일 반응계) CVD 공정을 이용하여 증착된다. 또 다른 구체예에서, 필름은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 필름은 열적 CVD 공정을 이용하여 증착된다. 본원에서 사용되는 용어 "반응기"는 반응 챔버 또는 증착 챔버를 제한 없이 포함한다.
특정 구체예에서, 기판은 하나 이상의 사전-증착 처리, 예컨대, 이로 제한되지는 않지만, 플라즈마 처리, 열 처리, 화학적 처리, 자외선 노출, 전자 빔 노출 및 이들의 조합에 노출되어 필름의 하나 이상의 특성에 영향을 줄 수 있다. 이러한 사전-증착 처리는 불활성, 산화 및/또는 환원으로부터 선택된 분위기하에 발생될 수 있다.
에너지는 반응을 유도하고 기판 상에 규소-함유 필름 또는 코팅을 형성시키기 위하여 화합물, 질소-함유 공급원, 산소 공급원, 다른 전구체 또는 이들의 조합 중 적어도 하나에 적용된다. 그러한 에너지는 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있지만, 이로 제한되지 않는다. 특정 구체예에서, 2차 RF 주파수 공급원은 기판 표면에서 플라즈마 특징을 개질시키기 위해 사용될 수 있다. 증착이 플라즈마를 수반하는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접적으로 발생되는 직접 플라즈마-발생 공정, 또는 대안적으로, 플라즈마가 반응기의 외측에서 발생되고 반응기로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
앞서 언급된 바와 같이, 방법은 표면 피쳐를 포함하는 기판의 표면의 적어도 일부 상에 필름을 증착시킨다. 기판은 반응기로 넣어지고, 기판은 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도에서 유지된다. 한 가지 특정 구체예에서, 기판의 온도는 챔버의 벽보다 낮다. 기판 온도는 100℃ 미만의 온도, 바람직하게는 25℃ 미만의 온도, 가장 바람직하게는 10℃ 미만 및 -20℃ 초과의 온도에서 유지된다.
앞서 언급된 바와 같이, 기판은 하나 이상의 표면 피쳐를 포함한다. 한 가지 특정 구체예에서, 표면 피쳐(들)는 100 μm 또는 그 미만의 폭, 1 μm 또는 그 미만의 폭, 또는 0.5 μm의 폭을 지닌다. 이러한 또는 다른 구체예에서, 표면 피쳐의 종횡비(깊이 대 폭 비)는, 존재 시, 0.1:1 또는 그 초과, 또는 1:1 또는 그 초과, 또는 10:1 또는 그 초과, 또는 20:1 또는 그 초과, 또는 40:1 또는 그 초과이다. 기판은 단결정질 실리콘 웨이퍼, 실리콘 카바이드의 웨이퍼, 알루미늄 옥사이드 (사파이어)의 웨이퍼, 유리 시트, 금속 호일, 유기 폴리머 필름일 수 있거나, 폴리머, 유리, 실리콘 또는 금속 3-차원 물품일 수 있다. 기판은 실리콘 옥사이드, 실리콘 니트라이드, 비정질 탄소, 실리콘 옥시카바이드, 실리콘 옥시니트라이드, 실리콘 카바이드, 갈륨 아르세나이트, 및 갈륨 니트라이드 등의 필름을 포함하는 당해 기술 분야에 잘 알려진 다양한 물질들로 코팅될 수 있다. 이러한 코팅은 기판을 완전히 코팅할 수 있고, 다양한 물질의 다중 층일 수 있고, 물질의 하부 층을 노출시키도록 부분적으로 에칭될 수 있다. 표면은 또한 패턴으로 노출되고 기판을 부분적으로 코팅하도록 현상되는 포토레지스트 물질을 그 위에 지닐 수 있다.
특정 구체예에서, 반응기는 대기압 미만의 압력 또는 750 torr (105 파스칼 (Pa)) 또는 그 미만, 또는 100 torr (13332 Pa) 또는 그 미만이다. 다른 구체예에서, 반응기의 압력은 약 0.1torr (13 Pa) 내지 약 10 torr (1333 Pa)의 범위에서 유지된다.
한 가지 특정 구체예에서, 적어도 하나의 화합물 및 질소 공급원이 반응기로 도입되는 도입 단계는 0 내지 1000℃, 또는 약 400℃ 내지 약 1000℃, 또는 약 400℃ 내지 약 600℃, 450℃ 내지 약 600℃, 또는 약 450℃ 내지 약 550℃ 범위의 하나 이상의 온도에서 실시된다. 이러한 또는 다른 구체예에서, 기판은 표면 피쳐를 포함하는 반도체 기판을 포함한다. 질소-함유 공급원은 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소 플라즈마, 질소/수소 플라즈마, 질소/헬륨 플라즈마, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3, NF3 플라즈마, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다. 적어도 하나의 화합물 및 질소 공급원은 표면 피쳐 및 기판의 적어도 일부 상에서 반응되고, 실리콘 니트라이드 필름를 형성시킨다(비-화학량론적임).
실리콘 니트라이드 필름이 증착된 후, 기판은 임의로 실리콘 니트라이드 필름을 제조하기에 충분한 특정 공정 조건하에 산소-함유 공급원으로 처리되어 실리콘 옥사이드 또는 실리콘 옥시니트라이드 필름을 형성시킨다. 산소-함유 공급원은 물(H2O), 산소 (O2), 산소 플라즈마, 오존 (O3), NO, N2O, 일산화탄소 (CO), 이산화탄소 (CO2), N2O 플라즈마, 일산화탄소 (CO) 플라즈마, 이산화탄소 (CO2) 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택될 수 있다.
한 가지 특정 구체예에서, 유동형 화학적 기상 증착에서 실리콘 옥사이드 또는 탄소-도핑된 실리콘 옥사이드 필름을 증착시키기 위한 방법은
표면 피쳐를 지니는 기판을 반응기에 넣고, 이를 약 -20℃ 내지 약 400℃ 범위의 하나 이상의 온도로 유지시키고;
(a) 하기 화학식 IA, IB, IC, ID, IE, 및 IF로 이루어진 군으로부터 선택된 실록산 화합물,
(b) 하기 화학식 IIA, IIB, IIC, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물,
(c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물, 및
(d) 하기 화학식 IV를 지니는 사이클로실라잔 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물 및 질소 공급원을 반응기로 도입하고, 여기서 적어도 하나의 화합물지 질소 공급원과 반응하여 표면 피쳐의 적어도 일부 상에 니트라이드 함유 필름을 형성시키고;
약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 산소 공급원으로 기판을 처리하여 표면 피쳐의 적어도 일부 상에 실리콘 옥사이드 필름을 형성시킴으로써 실리콕 옥사이드 필름을 제공함을 포함한다:
Figure 112017049202100-pct00015
Figure 112017049202100-pct00016
Figure 112017049202100-pct00017
Figure 112017049202100-pct00018
Figure 112017049202100-pct00019
상기 화학식 IIA, IIB, IIC 및 IID에서, 치환체 R은 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고;
상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이고;
상기 화학식 IV에서, 치환체 R1, R2, 및 R3는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로, R1, R2, 및 R3 중 어느 하나 이상은 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있다. 대안적으로, 필름은 약 100℃ 내지 약 1000℃ 범위의 온도에서 UV 조사에 노출되면서 산소 공급원에 노출될 수 있다. 이러한 공정 단계는 표면 피쳐가 고품질의 실리콘 옥사이드 필름으로 충전될 때까지 반복될 수 있다.
본원에 기재된 방법의 추가의 구체예에서, 필름은 유동형 CVD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
표면 피쳐를 포함하는 하나 이상의 기판을 반응기에 넣고, 이를 약 -20℃ 내지 약 400℃ 범위의 온도로 가열하고, 100 torr 또는 그 미만의 압력으로 유지시키고;
(a) 하기 화학식 IA, IB, IC, ID, IE, 및 IF로 이루어진 군으로부터 선택된 실록산 화합물,
(b) 하기 화학식 IIA, IIB, IIC, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물,
(c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물, 및
(d) 하기 화학식 IV를 지니는 사이클로실라잔 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물로 도입하고;
산소 공급원을 적어도 하나의 화합물과 반응하도록 반응기로 제공하여 필름을 형성시키고 표면 피쳐의 적어도 일부를 커버링하고;
약 100℃ 내지 1000℃, 바람직하게는 100℃ 내지 400℃의 하나 이상의 온도에서 필름을 어닐링하여 규소-함유 필름으로 표면 피쳐의 적어도 일부를 코팅함을 포함한다:
Figure 112017049202100-pct00020
Figure 112017049202100-pct00021
Figure 112017049202100-pct00022
Figure 112017049202100-pct00023
상기 화학식 IIA, IIB, IIC 및 IID에서, 치환체 R은 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고;
상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이고;
상기 화학식 IV에서, 치환체 R1, R2, 및 R3는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로, R1, R2, 및 R3 중 어느 하나 이상은 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있다. 이러한 구체예의 산소 공급원은 수증기, 물 플라즈마, 오존, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 산화질소 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 공정은 표면 피쳐가 규소-함유 필름으로 충전될 때까지 반복될 수 있다. 수증기가 이러한 구체예에서 산소 공급원으로 사용되는 경우, 기판 온도는 바람직하게는 -20 내지 40℃, 가장 바람직하게는 -10 내지 25℃이다.
본원에 기재된 방법의 또 다른 추가의 구체예에서, 실리콘 니트라이드, 탄소-도핑된 실리콘 니트라이드, 실리콘 옥시니트라이드, 및 탄소-도핑된 실리콘 옥시니트라이드 필름으로 이루어진 군으로부터 선택된 규소-함유 필름은 유동형 플라즈마 강화된 CVD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
표면 피쳐를 포함하는 하나 이상의 기판을 반응기에 넣고, 이를 약 -20℃ 내지 약 400℃ 범위의 온도로 가열하고, 100 torr 또는 그 미만의 압력으로 유지시키고;
(a) 하기 화학식 IA, IB, IC, ID, IE, 및 IF로 이루어진 군으로부터 선택된 실록산 화합물,
(b) 하기 화학식 IIA, IIB, IIC, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물,
(c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물, 및
(d) 하기 화학식 IV를 지니는 사이클로실라잔 화합물로 이루어진 군으로부터 선택된 적어도 하나의 화합물을 반응기로 도입하고;
플라즈마 공급원을 화합물과 반응하도록 반응기로 제공하여 표면 피쳐의 적어도 일부 상에 코팅을 형성시키고;
약 100℃ 내지 1000℃, 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 코팅을 어닐링하여 필름 피쳐의 적어도 일부 상에 규소-함유 필름을 형성시킴을 포함한다:
Figure 112017049202100-pct00024
Figure 112017049202100-pct00025
Figure 112017049202100-pct00026
Figure 112017049202100-pct00027
상기 화학식 IIA, IIB, IIC 및 IID에서, 치환체 R은 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고;
상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이고;
상기 화학식 IV에서, 치환체 R1, R2, 및 R3는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로, R1, R2, 및 R3 중 어느 하나 이상은 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있다. 이러한 구체예의 경우 플라즈마는 질소 플라즈마, 질소/헬륨 플라즈마, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤/플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 수소 플라즈마, 수소/헬륨 플라즈마, 질소/수소 플라즈마, 수소/아르곤 플라즈마, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 유동형 플라즈마 강화된 CVD의 경우, 공정은 비아 또는 트렌치가 고밀화 필름(들)으로 충전될 때까지 여러번 반복될 수 있다.
상기 단계들은 본원에 기재된 방법을 위해 1회 사이클로 규정되고; 사이클은 규소-함유 필름 요망되는 두께가 얻어질 때까지 반복될 수 있다. 이러한 구체예 또는 다른 구체예에서, 본원에 기술된 방법들의 단계들이 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에 (예를 들어, 또 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이의 임의 조합으로 수행될 수 있는 것으로 이해된다. 화합물 및 다른 시약을 공급하는 개개 단계는 생성된 규소 함유 필름의 화학량론적 조성을 변화시키기 위해 이를 공급하기 위한 기간을 변경시킴으로써 수행될 수 있다.
특정 구체예에서, 생성된 규소-함유 필름 또는 코팅은 증착후 처리, 예컨대, 이로 제한되지는 않지만, 플라즈마 처리, 화학적 처리, 자외선 노출, 적외선 노출, 전자 빔 노출, 및/또는 필름의 하나 이상의 특성에 영향을 주는 그 밖의 처리에 노출될 수 있다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "유기 아민"은 적어도 하나의 질소 원자를 지니는 유기 화합물을 나타낸다. 유기아민의 예는 메틸아민, 에틸아민, 프로필아민, 이소-프로필아민, 3차-부틸아민, 2차-부틸아민, 3차-아밀아민, 에틸렌디아민, 디메틸아민, 트리메틸아민, 디에틸아민, 피롤, 2,6-디메틸피페리딘, 디-n-프로필아민, 디-이소-프로필아민, 에틸메틸아민, N-메틸아닐린, 피리딘, 및 트리에틸아민을 포함하지만, 이로 제한되지 않는다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "실리콘 니트라이드"는 화학량론적 또는 비-화학량론적 실리콘 니트라이드, 실리콘 카보니트라이드, 실리콘 카복시니트라이드, 실리콘 알루미늄 니트라이드 및 이들의 임의의 혼합물로 이루어진 군으로부터 선택된 규소 및 질소를 포함하는 필름을 지칭한다.
명세서 전반에 걸쳐, 본원에서 사용되는 용어 "실리콘 옥사이드"는 화학량론적 또는 비-화학량론적 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 실리콘 카복시니트라이드 및 이들의 임의의 혼합물로 이루어진 군으로부터 선택된 규소 및 산소를 포함하는 필름을 지칭한다.
하기 실시예는 본원에 기재된 규소-함유 필름을 증착시키기 위한 조성물 및 방법을 예시하는 것이며, 어떠한 방식으로도 이를 제한하고자 의도된 것은 아니다.
실시예
일반적인 증착 조건
유동형 화학적 기장 증착된 (CVD) 필름을 중간 저항 (8-12 Ωcm) 단결정질 실리콘 웨이퍼 기판 및 Al 패턴 웨이퍼 상에 증착시켰다.
실란이나 TEOS 공정 키트 중 어느 하나를 사용하여 200 mm DXZ 챔버에서 Applied Materials Precision 5000 시스템 상에 증착을 수행하였다. 플라즈마 강화된 화학적 기상 증착 (PECVD) 챔버는 직접 액체 주입 (direct liquid injection: DLI) 전달 기능을 갖고 있었다. 전구체는 전구체의 비점에 좌우되는 전달 온도를 지니는 액체였다. 초기 유동형 니트라이드 필름을 증착시키기 위해서, 전형적인 액체 전구체 유량은 약 100 내지 약 5000 mg/min의 범위이고, 동일 반응계 플라즈마 출력 밀도는 약 0.25 내지 약 3.5 W/cm2의 범위이고, 압력은 약 0.75 - 12 Torr였다. 초기에 증착되는 유동형 니트라이드 필름을 옥사이드 필름으로 변환시키기 위해서, 필름을 약 25℃ 내지 약 300℃ 범위의 온도에서 오존을 포함하는 산소 공급원에 노출시켰다. 증착된 필름을 UV 처리 및 N2 주위 (O2<10 ppm)하에 800℃에서의 열적 어닐링에 의해 고밀화시켰다. 초기의 유동형 니트라이드 필름을 고품질의 니트라이드 필름으로 변환시키기 위해서, 필름을 실온 내지 400℃에서 NH3 또는 N2 플라즈마 및 UV 경화로 처리하였다. 두께 및 632 nm에서의 굴절 지수 (RI)를 반사계(reflectometer) 또는 엘립소미터(ellipsometer)에 의해 측정하였다. 전형적인 필름 두께는 약 10 내지 약 2000 nm의 범위였다. 규소-기반 필름의 결합 특성 수소 함량 (Si-H, C-H 및 N-H)을 Nicolet 투과율 푸리에 변환 적외분광법(Fourier transform infrared spectroscopy: FTIR) 기기에 의해 측정하고 분석하였다. 모든 밀도 측정을 X-선 반사율 (X-ray reflectivity: XRR)를 이용하여 수행하였다. 필름의 원소 조성을 알아보기 위해서 X-선 광전자 분광기 (X-ray Photoelectron Spectroscopy: XPS) 및 이차 이온 질량 분광 (Secondary ion mass spectrometry: SIMS) 분석을 수행하였다. 습윤 에칭률 (Wet etch rate: WER)을 100:1 희석 HF 용액에서 측정하였다. 유전 상수, 누설 전류 및 파괴 장(breakdown field)을 포함하는 전기적 특성 측정을 위해 수은 프로브를 채택하였다. Al 패턴화된 웨이퍼에 대한 유동성 및 갭 충전 효과를 2.0 nm의 해상도에서 Hitachi S-4700 시스템을 이용하여 단면 주사 전자 현미경(SEM)에 의해 관찰하였다.
유동형 CVD 증착을 실험 설계(design of experiment: DOE) 방법을 이용하여 실시하였다. 실험 설계는 다음을 포함한다: 약 100 내지 약 5000 mg/min 또는 약 1000 내지 약 2000 mg/min 범위의 전구체 유동; 약 100 sccm 내지 약 1000 sccm, 바람직하게는 약 100 내지 약 300 sccm의 NH3 유동; 0.75 내지 12 Torr, 바람직하게는 약 8 내지 12 Torr 범위의 압력; 약 100 내지 1000 W, 또는 약 50 내지 약 200 W 범위의 RF 출력 (약 13.56 MHz); 약 0 내지 100 W 범위의 저주파수 (LF) 출력; 및 약 150 내지 약 550℃, 또는 약 0 내지 100℃ 범위의 증착 온도. DOE 실험을 이용하여 어떠한 공정 파라미터로 우수한 유동성을 지니는 최적의 필름이 생산되는지를 알아보았다.
실시예 1: 비스(디실릴아미노)실란 (또는 디실릴트리실라잔) 화학식 IIB를 사용한 실리콘 옥사이드 필름의 증착
필름의 상대 유동성, 필름 밀도, 및 습윤 에칭률을 비교하기 위해서 8 인치 규소 기판 및 패턴화된 기판 (예를 들어, 표면 피쳐를 지님) 상에 전구체로서 비스(디실릴아미노)실란을 사용하여 다수의 실리콘 옥사이드 필름을 증착시켰다.
비스(디실릴아미노)실란에 의해 증착된 초기 유동형 니트라이드 필름 중에서, 가장 유리한 필름 특성을 제공하는데 이용된 공정 조건은 다음과 같았다: 비스(디실릴아미노)실란 유동 (800-2000 mg/min), NH3 유동 (200~500 sccm), He (100~300 sccm), 압력 (8~10 torr), RF (80~120 W), 및 온도 (40~50℃). 표 1은 비스(디실릴아미노)실란을 사용한 선택된 유동형 필름 증착에 대한 증착 조건을 보여주는 것이다.
표 1
Figure 112017049202100-pct00028
증착된 니트라이드 필름을 산화를 위해 산소 공급원 오존 O3에 노출시켰다. 패턴 웨이퍼에 대한 유동성 및 갭-충전 효과는 도 1에 나타나 있다. 더 큰 표면 피쳐에 대한 단면 SEM 이미지의 시각적 리뷰는 트렌치에서 우수한 유동성을 나타냈는데, 이는 피쳐가 보이드(void)를 함유하지 않고, 솔기가 없고, 바틈-업(bottoms-up)으로 충전되었음을 의미하고; 더 작은 표면 피쳐에 대한 단면 SEM 이미지는 유동형 비스(디실릴아미노)실란 필름의 우수한 갭-충전 효과를 나타낸다. 증착된 필름을 10~15 min 동안 자외선 (UV)로 경화시킴으로써 처리하고, 약 600 내지 약 800℃ 범위의 하나 이상의 온도에서 1~2 시간 동안 열적 어닐링함으로써 고밀화시켰다. 1.45의 굴절 지수 및 FT-IR 스펙트럼은 옥사이드 필름이 고품질의 옥사이드 필름이라는 것을 나타낸다. 어닐링후 필름의 습윤 에칭률(WER)을 100:1 희석 HF로 필름을 딥핑시킴으로써 시험하고, 열적 옥사이드 필름과 비교하였다. 실리콘 옥사이드 필름의 WER은 4.2~4.7 nm/min였다. 비교로, 열적 옥사이드 필름의 WER은 약 2.2 nm/min였다. 비스(디실릴아미노)실란을 사용하여 증착된 실리콘 옥사이드의 WER은 열적 옥사이드 필름의 약 1.9~2.1였는데, 이는 고품질의 실리콘 옥사이드가 비스(디실릴아미노)실란을 사용함으로써 달성될 수 있음을 입증하는 것이다. 비교로, 트리실릴아민 (TSA)으로부터 증착된 실리콘 옥사이드의 WER은 열적 옥사이드의 약 2.2~2.5배였다.
실시예 2: 1,1,3,3,5,5-헥사메틸사이클로트리실라잔 화학식 IV를 사용한 실리콘 카보니트라이드 필름의 증착
유동성을 비교하기 위해서 8 인치 규소 기판 및 패턴화된 기판 상에서 1,1,3,3,5,5-헥사메틸사이클로트리실라잔을 전구체로서 사용하여 다수의 실리콘 카보니트라이드 필름을 증착시켰다.
1,1,3,3,5,5-헥사메틸사이클로트리실라잔에 의해 증착된 유동형 실리콘 카보니트라이드 필름 중에서, 가장 유리한 필름 특성을 제공하는데 이용된 공정 조건은 다음과 같았다: 1,1,3,3,5,5-헥사메틸사이클로트리실라잔 유동 (800-1500 mg/min의 범위); He (100~300 sccm의 범위); 압력 (4~10 torr의 범위); RF (100~500 W의 범위); 및 온도 (30~40℃의 범위). 표 2는 1,1,3,3,5,5-헥사메틸사이클로트리실라잔을 사용한 선택된 유동형 필름 증착에 대한 증착 조건을 보여주는 것이다.
표 2
Figure 112017049202100-pct00029
증착된 실리콘 카보니트라이드 필름을 250~400℃에서 열로 처리하였다. 패턴 웨어퍼에 대한 유동성 및 갭-충전 효과는 도 2에 나타나 있다. 더 큰 표면 피쳐에 대한 단면 SEM 이미지의 시각적 리뷰는 트렌치에서 우수한 유동성을 나타냈는데, 이는 피쳐가 보이드를 함유하지 않고, 솔기가 없고, 바틈-업으로 충전되었음을 의미하고; 더 작은 표면 피쳐에 대한 단면 SEM 이미지는 유동형 1,1,3,3,5,5-헥사메틸사이클로트리실라잔 필름의 바틈-업 갭-충전 효과를 나타낸다.

Claims (16)

  1. 유동형 화학적 기상 증착(flowable chemical vapor deposition)을 이용하여 표면 피쳐(surface feature)를 포함하는 기판(substrate)의 하나 이상의 표면 상에 실리콘 옥사이드 필름을 증착시키기 위한 조성물로서,
    (b) 하기 화학식 IIA, IIB, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물을 포함하는 조성물:
    Figure 112019108729269-pct00050

    상기 화학식 IIA에서, 치환체 R은 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택된다.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서, (c) 하기 화학식 III를 지니는 오가노아미노디실란 화합물을 추가로 포함하는 조성물:
    Figure 112019033384870-pct00051

    상기 화학식 III에서, 치환체 R1 및 R2는 각각 독립적으로 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택되고; 임의로 R1 및 R2는 함께 링킹되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시킬 수 있고; n=1 또는 2이다.
  5. 삭제
  6. 제 1항에 있어서, 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 및 3차 아미노에테르로 이루어진 군으로부터 선택된 하나 이상의 용매를 추가로 포함하는 조성물.
  7. 제 1항에 있어서, 옥탄, 에틸사이클로헥산, 사이클로옥탄, 및 톨루엔으로 이루어진 군으로부터 선택된 하나 이상의 용매를 추가로 포함하는 조성물.
  8. 유동형 화학적 기상 증착을 이용하여 실리콘 옥사이드 필름을 증착시키기 위한 방법으로서, 방법이
    표면 피쳐를 포함하는 기판을 반응기에 넣되, 기판을 -20℃ 내지 400℃ 범위의 하나 이상의 온도로 유지시키고, 반응기의 압력을 100 torr 또는 그 미만으로 유지시키고;
    (b) 하기 화학식 IIA, IIB, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물을 반응기로 도입하고;
    산소 공급원을 하나 이상의 화합물과 반응하도록 반응기로 제공하여 필름을 형성시키고 표면 피쳐의 일부 또는 전부를 커버링하고;
    100℃ 내지 1000℃ 범위의 하나 이상의 온도에서 필름을 어닐링하여 필름으로 표면 피쳐의 일부 또는 전부를 코팅함을 포함하는 방법:
    Figure 112019108729269-pct00053

    상기 화학식 IIA에서, 치환체 R은 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택된다.
  9. 제 8항에 있어서, 산소 공급원이 물(H2O), 산소 (O2), 산소 플라즈마, 오존 (O3), NO, N2O, 일산화탄소 (CO), 이산화탄소 (CO2), N2O 플라즈마, 일산화탄소 (CO) 플라즈마, 이산화탄소 (CO2) 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  10. 증착 공정으로 실리콘 옥사이드 필름을 증착시키기 위한 방법으로서, 방법이
    표면 피쳐를 지니는 기판을 반응기에 넣고, 이를 -20℃ 내지 400℃ 범위의 하나 이상의 온도로 유지시키고;
    (b) 하기 화학식 IIA, IIB, 및 IID로 이루어진 군으로부터 선택된 트리실릴아민-기반 화합물 및 질소 공급원을 반응기로 도입하고, 여기서 하나 이상의 화합물이 질소 공급원과 반응하여 표면 피쳐의 일부 또는 전부 상에 니트라이드 함유 필름을 형성시키고;
    100℃ 내지 1000℃ 범위의 하나 이상의 온도에서 산소 공급원으로 기판을 처리하여 표면 피쳐의 일부 또는 전부 상에 실리콘 옥사이드 필름을 형성시킴으로써 실리콘 옥사이드 필름을 제공함을 포함하는 방법:
    Figure 112019108729269-pct00055

    상기 화학식 IIA에서, 치환체 R은 수소 원자; 할라이드 원자; 선형 C1 내지 C10 알킬 기; 분지형 C3 내지 C10 알킬 기; 선형 또는 분지형 C3 내지 C12 알케닐 기; 선형 또는 분지형 C3 내지 C12 알키닐 기; C4 내지 C10 사이클릭 알킬 기; 및 C6 내지 C10 아릴 기로부터 선택된다.
  11. 제 10항에 있어서, 질소 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소 플라즈마, 질소/수소 플라즈마, 질소/헬륨 플라즈마, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3, NF3 플라즈마, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  12. 제 10항에 있어서, 증착 공정이 플라즈마 강화된 화학적 기상 증착(plasma enhanced chemical vapor deposition)이고, 플라즈마가 동일 반응계로 발생되는 방법.
  13. 제 10항에 있어서, 증착 공정이 플라즈마 강화된 화학적 기상 증착이고, 플라즈마가 원격으로 발생되는 방법.
  14. 제 10항에 있어서, 산소 공급원이 물 (H2O), 산소 (O2), 산소 플라즈마, 오존 (O3), NO, N2O, 일산화탄소 (CO), 이산화탄소 (CO2), N2O 플라즈마, 일산화탄소 (CO) 플라즈마, 이산화탄소 (CO2) 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  15. 삭제
  16. 제 10항에 있어서, 실리콘 옥사이드 필름을 플라즈마, 자외선, 적외선, 또는 이들의 조합으로부터 선택된 하나 이상으로 처리함을 추가로 포함하는 방법.
KR1020177013994A 2014-10-24 2015-10-23 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법 KR102079501B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462068248P 2014-10-24 2014-10-24
US62/068,248 2014-10-24
PCT/US2015/057040 WO2016065219A1 (en) 2014-10-24 2015-10-23 Compositions and methods using same for deposition of silicon-containing film

Publications (2)

Publication Number Publication Date
KR20170075766A KR20170075766A (ko) 2017-07-03
KR102079501B1 true KR102079501B1 (ko) 2020-02-20

Family

ID=54477308

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020177013994A KR102079501B1 (ko) 2014-10-24 2015-10-23 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
KR1020177013995A KR102188751B1 (ko) 2014-10-24 2015-10-23 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR1020207034642A KR102332415B1 (ko) 2014-10-24 2015-10-23 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020177013995A KR102188751B1 (ko) 2014-10-24 2015-10-23 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR1020207034642A KR102332415B1 (ko) 2014-10-24 2015-10-23 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법

Country Status (8)

Country Link
US (4) US10106890B2 (ko)
EP (3) EP3209813B1 (ko)
JP (4) JP6871161B2 (ko)
KR (3) KR102079501B1 (ko)
CN (4) CN113373428B (ko)
SG (3) SG11201703196WA (ko)
TW (3) TWI658168B (ko)
WO (2) WO2016065221A1 (ko)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102326396B1 (ko) 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
WO2017070192A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR20190011817A (ko) * 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
CN111902359A (zh) 2018-02-21 2020-11-06 乔治洛德方法研究和开发液化空气有限公司 全氢聚硅氮烷组合物和用于使用其形成氧化物膜的方法
SG11202006237RA (en) * 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
WO2019241763A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane compositions and methods for using the compositions to deposit silicon containing films
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN110952074B (zh) * 2018-08-10 2023-06-13 弗萨姆材料美国有限责任公司 硅化合物和使用硅化合物沉积膜的方法
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
WO2020257550A1 (en) * 2019-06-21 2020-12-24 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012504867A (ja) * 2008-10-01 2012-02-23 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素系膜又は炭化ケイ素系膜を形成する方法
JP2013545284A (ja) * 2010-10-05 2013-12-19 アプライド マテリアルズ インコーポレイテッド アミン硬化ケイ素−窒化物−水素化物膜
JP2014013889A (ja) * 2012-06-01 2014-01-23 Air Products And Chemicals Inc 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2014132653A (ja) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc アルコキシシリルアミン化合物及びその応用

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
EP0218117A3 (en) 1985-10-11 1989-11-23 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
JPH0662775B2 (ja) 1987-06-12 1994-08-17 チッソ株式会社 新規ポリシラザン及びその製造方法
JP3060185B2 (ja) * 1991-05-01 2000-07-10 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造方法
JPH06310493A (ja) * 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
JP3401322B2 (ja) * 1993-08-26 2003-04-28 富士通株式会社 絶縁膜を有する半導体装置の製造方法
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
KR100533198B1 (ko) 2000-08-18 2005-12-05 동경 엘렉트론 주식회사 저유전성 질화규소막 및 그 형성 방법, 반도체 장치 및 그제조 방법
JP2003203800A (ja) * 2001-09-14 2003-07-18 Sekisui Chem Co Ltd 常圧プラズマ処理方法および装置
US6969769B2 (en) * 2002-06-14 2005-11-29 Vanson Halosource, Inc. N-halamine siloxanes for use in biocidal coatings and materials
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
DE102004001288A1 (de) 2004-01-07 2005-08-11 Clariant International Limited Hydrophile Beschichtung auf Polysilazanbasis
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050239295A1 (en) * 2004-04-27 2005-10-27 Wang Pei-L Chemical treatment of material surfaces
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
CN100595317C (zh) * 2004-10-19 2010-03-24 东丽株式会社 薄膜的制造方法和薄膜
JP3788624B1 (ja) * 2005-01-18 2006-06-21 旭電化工業株式会社 シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20100104755A1 (en) * 2005-06-29 2010-04-29 Christian Dussarrat Deposition method of ternary films
WO2007008653A2 (en) * 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US9337054B2 (en) 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5559988B2 (ja) * 2009-06-03 2014-07-23 東京エレクトロン株式会社 シリコン酸化膜用成膜原料およびそれを用いたシリコン酸化膜の成膜方法
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
KR101752901B1 (ko) * 2009-08-25 2017-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 방법, 재생 반도체 기판의 제작 방법, 및 soi 기판의 제작 방법
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8461367B2 (en) * 2010-01-15 2013-06-11 Shin-Etsu Chemical Co., Ltd. Preparation process of trisilylamine
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8455768B2 (en) * 2010-11-15 2013-06-04 International Business Machines Corporation Back-end-of-line planar resistor
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8530983B2 (en) * 2011-04-08 2013-09-10 Georgia Tech Research Corporation Piezo-phototronic effect devices
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
KR101615584B1 (ko) * 2011-11-21 2016-04-26 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 장치, 반도체 장치의 제조 방법 및 기록 매체
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
KR20140138272A (ko) 2012-03-09 2014-12-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
US20130260575A1 (en) 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
DE102012214290A1 (de) * 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9878234B2 (en) * 2012-12-10 2018-01-30 Arlen Moller Incorporating objective assessments of fantasy-team-owners' physical activity into fantasy sport platforms
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
KR101599952B1 (ko) 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
KR101600337B1 (ko) 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
KR102326396B1 (ko) * 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160156066A1 (en) * 2014-10-20 2016-06-02 Massachusetts Institute Of Technology Polymer electrolytes for electrochemical cells
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
WO2017070192A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
IL260069B2 (en) * 2015-12-21 2024-02-01 Versum Mat Us Llc Preparations and methods using them for depositing a silicon-containing layer
US20190055645A1 (en) 2016-02-26 2019-02-21 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
CN111295465B (zh) * 2017-09-14 2022-12-09 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物和方法
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US11078569B2 (en) * 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
EP3990676A4 (en) * 2019-07-25 2023-07-05 Versum Materials US, LLC COMPOSITIONS COMPRISING SILACYCLOALKANES AND METHODS USING THEM FOR DEPOSITING A FILM CONTAINING SILICON

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012504867A (ja) * 2008-10-01 2012-02-23 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素系膜又は炭化ケイ素系膜を形成する方法
JP2013545284A (ja) * 2010-10-05 2013-12-19 アプライド マテリアルズ インコーポレイテッド アミン硬化ケイ素−窒化物−水素化物膜
JP2014013889A (ja) * 2012-06-01 2014-01-23 Air Products And Chemicals Inc 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2014132653A (ja) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc アルコキシシリルアミン化合物及びその応用

Also Published As

Publication number Publication date
CN107257867A (zh) 2017-10-17
CN107257867B (zh) 2021-03-16
EP3209814B1 (en) 2018-09-05
US20190017167A1 (en) 2019-01-17
US10316407B2 (en) 2019-06-11
JP2020014007A (ja) 2020-01-23
CN107429391A (zh) 2017-12-01
SG10202000545RA (en) 2020-03-30
JP2017535077A (ja) 2017-11-24
CN107429391B (zh) 2021-06-25
SG11201703196WA (en) 2017-05-30
EP3209814A1 (en) 2017-08-30
CN113025992A (zh) 2021-06-25
JP2017533589A (ja) 2017-11-09
TW201720953A (zh) 2017-06-16
KR102188751B1 (ko) 2020-12-08
EP3431629B1 (en) 2021-11-24
CN113025992B (zh) 2024-02-02
JP6949912B2 (ja) 2021-10-13
US20190271075A1 (en) 2019-09-05
EP3431629A1 (en) 2019-01-23
WO2016065221A1 (en) 2016-04-28
TWI575102B (zh) 2017-03-21
TW201615886A (zh) 2016-05-01
KR20170074958A (ko) 2017-06-30
US20170335449A1 (en) 2017-11-23
SG11201703195QA (en) 2017-05-30
KR102332415B1 (ko) 2021-12-01
KR20200137054A (ko) 2020-12-08
US20170338109A1 (en) 2017-11-23
JP7152576B2 (ja) 2022-10-12
CN113373428B (zh) 2023-07-14
WO2016065219A1 (en) 2016-04-28
CN113373428A (zh) 2021-09-10
TW201615880A (zh) 2016-05-01
EP3209813B1 (en) 2019-03-13
TWI658168B (zh) 2019-05-01
TWI579399B (zh) 2017-04-21
EP3209813A1 (en) 2017-08-30
JP6871161B2 (ja) 2021-05-12
KR20170075766A (ko) 2017-07-03
JP2022000913A (ja) 2022-01-04
US10106890B2 (en) 2018-10-23

Similar Documents

Publication Publication Date Title
KR102079501B1 (ko) 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7125515B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
US20210140040A1 (en) Compositions and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)