KR20220024786A - 규소 함유 막의 증착을 위한 조성물 및 이의 사용 방법 - Google Patents

규소 함유 막의 증착을 위한 조성물 및 이의 사용 방법 Download PDF

Info

Publication number
KR20220024786A
KR20220024786A KR1020227002032A KR20227002032A KR20220024786A KR 20220024786 A KR20220024786 A KR 20220024786A KR 1020227002032 A KR1020227002032 A KR 1020227002032A KR 20227002032 A KR20227002032 A KR 20227002032A KR 20220024786 A KR20220024786 A KR 20220024786A
Authority
KR
South Korea
Prior art keywords
plasma
film
source
silicon
group
Prior art date
Application number
KR1020227002032A
Other languages
English (en)
Inventor
레이몬드 니콜라스 브르티스
로버트 고든 리쥐웨이
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20220024786A publication Critical patent/KR20220024786A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

규소 함유 막, 예컨대, 비제한적으로, 탄화규소, 산질화규소, 탄소 도핑된 질화규소, 탄소 도핑된 산화규소, 또는 탄소 도핑된 산질화규소 막을 적어도 표면 피처를 갖는 기판의 표면 상에 형성하기 위해 조성물 및 이를 사용하는 방법이 사용된다. 규소 함유 막은 적어도 하나의 Si-H 결합을 함유하는 알킬하이드리도실란 화합물을 사용하여 증착된다.

Description

규소 함유 막의 증착을 위한 조성물 및 이의 사용 방법
전자 디바이스의 제조를 위한 공정이 본원에 기재되어 있다. 보다 구체적으로, 증착 공정, 예컨대, 비제한적으로, 유동성 화학 기상 증착에서 규소 함유 막을 형성하기 위한 조성물이 본원에 기재되어 있다. 본원에 기재된 조성물 및 방법을 사용하여 증착될 수 있는 예시적인 규소 함유 막은 탄화규소, 산질화규소, 탄소 도핑된 산화규소 또는 탄소 도핑된 질화규소 막을 포함하나, 이에 제한되지는 않는다.
미국 공개 공보 제2013/0217241호에는 Si-C-N 함유 유동성 층의 증착 및 처리가 개시되어 있다. Si 및 C는 Si-C-함유 전구체로부터 유래할 수 있고, 한편 N은 N-함유 전구체로부터 유래할 수 있다. 초기 Si-C-N 함유 유동성 층은 유동성을 가능하게 하는 성분을 제거하기 위해 처리된다. 이러한 성분의 제거는 내에칭성을 증가시키고, 수축을 감소시키며, 막 장력 및 전기적 특성을 조절할 수 있다. 후처리는 열 어닐링, UV 노출 또는 고밀도 플라즈마일 수 있다.
미국 특허 제8889566호에는 규소 전구체를 국부 플라즈마로 여기하고 제2 플라즈마로 증착함으로써 유동성 막을 증착하는 방법이 개시되어 있다. 규소 전구체는 실릴아민, 고차 실란 또는 할로겐화 실란일 수 있다. 제2 반응물 가스는 NH3, N2, H2, 및/또는 O2일 수 있다.
미국 특허 제7825040호에는 알콕시실란 또는 아미노실란 전구체를 도입하고, 플라즈마 반응에 의해 유동성 Si 함유 막을 증착함으로써 갭을 충진하는 방법이 개시되어 있다. 전구체는 Si-C 결합 또는 C-C 결합을 포함하지 않는다.
미국 특허 제8889566호, 제7521378호 및 제8575040호에는 기상 중합을 달성하기 위해 유동성 화학 기상 증착 공정을 사용하여 산화규소 막을 증착하는 것에 대한 접근법이 기재되어 있다. 오존 노출을 사용하여 SiOx 막으로 후속적으로 산화되는 Si, H 및 N 함유 올리고머를 증착하기 위해 트리실릴아민(TSA)과 같은 화합물을 사용하였다.
미국 특허 제8846536호에는 유동성 유전체 막을 증착하고, 개질시키는 방법이 개시되어 있다. 하나 이상의 통합 공정에 의해, 유동성 유전체 막의 습식 에칭 속도는 적어도 10 배만큼 변화될 수 있다.
앞서 확인된 특허 및 특허 출원의 개시내용은 본원에 참조로 포함된다.
유동성 화학 기상 증착 및 다른 막 증착 공정과 관련된 당업계의 최근 움직임에도 불구하고, 여전히 문제가 남아 있다. 이러한 문제들 중 하나는 막 응력 및 보이드 생성과 관련이 있다. 유동성 막은 대부분 보다 낮은 온도에서 증착되지만, 고온 및 고에너지 후처리는 높은 막 응력을 유발하고 피처에 보이드를 생성한다. 낮은 공정 온도에서의 낮은 막 품질로 인해 습식 에칭 속도를 낮추는 것은 도전과제였다. 따라서, 대안적인 전구체 화합물, 전구체 조합물, 또는 변형된 기술, 또는 이들의 조합을 제공할 필요성이 있다.
본원에 기재된 조성물 또는 배합물 및 이를 사용하는 방법은, 증착 후 처리시 바람직한 막 특성을 제공하는 기판 표면의 적어도 일부분 상에 규소 함유 막을 증착시킴으로써 선행 기술의 문제를 극복한다. 본 발명의 조성물 및 방법은 다음의 특징을 갖는 규소 함유 막을 제공할 수 있다: i) 토호(Toho) 응력 도구를 사용하여 측정되는, 열 경화 후 약 10 내지 약 20 MPa 범위, 및 UV 경화 후 약 150 내지 약 190 MPa 범위의 막 인장 응력, 및 ii) X-선 반사율로 측정되는, 약 1.35 내지 약 2.10 g/cm3 범위의 밀도. 증착된 상태의 막은 유동성이 있고 폭이 50 nm 미만이고 2:1 이상의 종횡비를 갖는 피처를 충진할 수 있으며 에너지 소스, 예컨대, 제한 없이, UV 광 또는 전자 빔을 사용하여 완전히 어닐링될 수 있다. 어닐링 막은 공기 중에서 안정하며 피처 내에 보이드 생성을 초래하지 않는다.
규소 함유 막은 탄화규소, 산화규소, 탄소 도핑된 질화규소, 및 탄소 도핑된 산질화규소 막으로 이루어진 군으로부터 선택된다. 특정 실시양태에서, 기판은 표면 피처(surface feature)를 포함한다. 본원에 사용된 용어 "표면 피처"는 다음 중 하나 이상을 포함하는 기판 또는 부분적으로 제조된 기판을 의미한다: 공극, 트렌치, 얕은 트렌치 격리(shallow trench isolation, STI), 비아(via), 오목한 피처 등. 조성물은 예비 혼합 조성물, 예비 혼합물(증착 공정에서 사용되기 전에 혼합됨), 또는 계내(in situ) 혼합물(증착 공정 동안 혼합됨)일 수 있다. 따라서, 본 개시내용에서 용어 "혼합물", "배합물" 및 "조성물"은 상호교환가능하다.
한 양태에서, 규소 함유 막을 증착하는 방법으로서,
-20℃ 내지 약 200℃ 범위의 하나 이상의 온도에 있는 반응기에 표면 피처를 포함하는 기판을 배치하는 단계;
적어도 하나의 규소-수소 결합을 갖고 화학식 RnSiH4-n을 갖는 화합물을 반응기에 도입하는 단계로서, 상기 식에서 R은 선형 또는 분지형 C2 내지 C6 알킬 또는 C6-C10 아릴기로부터 독립적으로 선택되고 n은 1, 2 및 3으로부터 선택된 수인 단계; 및
화합물을 적어도 부분적으로 반응시켜 유동성 액체 또는 올리고머를 형성하도록, 반응기에 플라즈마 소스를 제공하는 단계로서, 여기서 유동성 액체 또는 올리고머는 표면 피처의 일부분을 적어도 부분적으로 충진하는 단계
를 포함하는 방법에 제공된다.
한 특정 실시양태에서, 플라즈마 소스는 질소 플라즈마; 질소 및 헬륨을 포함하는 플라즈마; 질소 및 아르곤을 포함하는 플라즈마; 암모니아 플라즈마; 암모니아 및 헬륨을 포함하는 플라즈마; 암모니아 및 아르곤을 포함하는 플라즈마; 헬륨 플라즈마; 아르곤 플라즈마; 수소 플라즈마; 수소 및 헬륨을 포함하는 플라즈마; 수소 및 아르곤을 포함하는 플라즈마; 암모니아 및 수소를 포함하는 플라즈마; 유기 아민 플라즈마; 산소를 포함하는 플라즈마; 산소 및 수소를 포함하는 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
다른 실시양태에서, 플라즈마 소스는 탄소 소스 플라즈마, 예를 들어 탄화수소 플라즈마, 탄화수소 및 헬륨을 포함하는 플라즈마, 탄화수소 및 아르곤을 포함하는 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 탄화수소 및 수소를 포함하는 플라즈마, 탄화수소 및 질소 소스를 포함하는 플라즈마, 탄화수소 및 산소 소스를 포함하는 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된다.
플라즈마 소스는 계내일 수 있거나 원격 소스, 예컨대 원격 마이크로파 또는 원격 플라즈마 소스일 수 있다.
상기 중 임의의 실시양태에서 또는 대안적인 실시양태에서, 상기 방법은 증착된 유동성 액체 또는 올리고머를 약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도로 열처리에 적용하여 증착된 물질의 적어도 일부분을 조밀화하는 단계를 추가로 포함한다.
또 다른 예시적인 실시양태에 따르면, 후 열처리 물질은 플라즈마, 적외선, 화학 처리, 전자빔 또는 UV 광에 노출되어 조밀한 막을 형성한다.
상기 단계의 일부 또는 전부는 하나의 주기를 정의하고, 이 주기는 원하는 두께의 규소 함유 막이 얻어질 때까지 반복될 수 있다. 이러한 또는 다른 실시양태에서, 본원에 기재된 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부 동안)수행될 수 있으며, 이들의 임의의 조합으로 수행될 수 있음이 이해된다. 화합물 및 다른 시약을 공급하는 각각의 단계는, 생성된 규소 함유 막의 화학량론적 조성을 변화시키기 위해 그들을 공급하는 지속시간을 변화시킴으로써 수행될 수 있다.
본 발명의 또 다른 실시양태는 본 발명의 방법에 의해 형성된 막 뿐만 아니라 앞서 확인된 특징을 갖는 막에 관한 것이다.
본 발명의 다양한 실시양태는 단독으로 또는 서로 조합하여 사용될 수 있다.
도 1은 전구체로서 트리에틸실란(3ES)을 사용하여 유동성 CVD에 의해 형성된 유기규산염 유리(OSG) 막의 단면 SEM 이미지이며, 막은 솔기가 없고(seamless) 보이드 없는 갭-충진을 나타낸다.
표면 피처를 갖는 기판의 적어도 일부분 상에 화학 기상 증착(CVD) 공정을 통해 유동성 막을 증착하기 위해 알킬하이드리도실란 화합물을 사용하는 방법이 본원에 기재되어 있다. 앞서 논의한 바와 같이, 유동성 CVD에 의해 증착된 막은 종종 낮은 공정 온도로 인해 후처리 동안 막 수축에 취약하다. 이러한 막에는 상당한 막 수축 및 막의 응력 증가로 인해 보이드와 솔기가 형성될 수 있다. 따라서, 막 응력을 증가시키거나 보이드를 생성하지 않으면서 막을 조밀화하는 것이 도전과제였다. 본원에 기재된 방법은 기판 상의 표면 피처의 적어도 일부분의 충진을 개선함으로써 이러한 문제를 극복한다.
상기 방법은 화학식 RnSiH4-n을 갖는 알킬하이드리도실란 전구체 화합물을 사용하여 수행되며, 상기 식에서 R은 선형 또는 분지형 C2 내지 C6 알킬 또는 C6-C10 아릴기로부터 독립적으로 선택되고 n은 1, 2, 3으로부터 선택된 수이다. 예시적인 전구체 화합물은 에틸실란, 디에틸실란, 트리에틸실란, 이소프로필디에틸실란, 페닐디에틸실란, 및 벤질디에틸실란을 포함하나, 이에 제한되지는 않는다.
상기 화학식 및 설명 전반에 걸쳐, 용어 "선형 또는 분지형 알킬"은 2 내지 6개의 탄소 원자를 갖는 선형 작용기를 나타낸다. 예시적인 선형 또는 분지형 알킬기는 에틸(Et), 이소프로필(Pri), 이소부틸(Bui), sec-부틸(Bus), tert-부틸(But), 이소-펜틸, tert-펜틸(am), 이소헥실 및 네오헥실을 포함하나 이에 제한되지는 않는다. 특정 실시양태에서, 알킬기는 이에 부착된 하나 이상의 작용기, 예컨대, 비제한적으로, 알콕시기, 디알킬아미노기 또는 이들의 조합을 가질 수 있다. 다른 실시양태에서, 알킬기는 이에 부착된 하나 이상의 작용기를 갖지 않는다. 알킬기는 포화되거나, 또는 대안적으로 불포화될 수 있다.
상기 화학식 및 전체 설명에서, 용어 "사이클릭 알킬"은 3 내지 10개의 원자를 갖는 사이클릭기를 나타낸다. 예시적인 사이클릭 알킬기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸기를 포함하나 이에 제한되지는 않는다. 특정 실시양태에서, 사이클릭 알킬기는 3 내지 10개의 원자 선형 또는 분지형 치환기, 또는 산소 또는 질소 원자를 함유하는 치환기를 갖는다. 사이클릭 알킬기는 치환기로서 하나 이상의 선형 또는 분지형 알킬 또는 알콕시기, 예컨대, 예를 들어, 메틸사이클로헥실기 또는 메톡시사이클로헥실기를 가질 수 있다.
상기 화학식 및 전체 설명에서, 용어 "아릴기"는 3 내지 10개의 원자를 갖는 기를 나타낸다. 예시적인 아릴기는 메틸벤젠, 벤질 및 페놀을 포함하나 이에 제한되지는 않는다.
특정 실시양태에서, 화학식의 알킬기 중 하나 이상은 "치환될" 수 있거나, 예를 들어 수소 원자 대신에 치환된, 하나 이상의 원자 또는 원자 군을 가질 수 있다. 예시적인 치환기는 산소, 황, 할로겐 원자(예를 들어, F, Cl, I, 또는 Br), 질소, 알킬기, 및 인을 포함하나 이에 제한되지는 않는다.
본원에 기재된 규소 전구체 화합물은 다양한 방식으로 반응 챔버, 예컨대 CVD 또는 ALD 반응기에 전달될 수 있다. 한 실시양태에서, 액체 전달 시스템이 이용된다. 대안적인 실시양태에서, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대, 예를 들어, 미네소타주 쇼어뷰(Shoreview) 소재의 MSP 코포레이션에 의해 제조된 터보 기화기가 사용되어, 저휘발성 물질이 용량 측정되어 전달될 수 있게 하고, 이는 재현 가능한 수송 및 전구체의 열 분해가 없는 증착을 유도한다. 액체 전달 배합물에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 또는 대안적으로, 이를 포함하는 용매 배합물 또는 조성물에 사용될 수 있다. 따라서, 특정 실시양태에서 전구체 배합물은 기판 상에 막을 형성하기 위해 주어진 최종 사용 적용에서 바람직하고 유리할 수 있는 적합한 특징의 용매 성분(들)을 포함한다. 적합한 용매의 예는 사이클로헥산 및 사이클로헥사논과 같은 비극성 알칸계 용매로 이루어진 군으로부터 선택된 적어도 하나의 구성 요소를 포함한다.
규소 전구체 화합물은 바람직하게는 염화물과 같은 할로겐화물 이온 또는 Al과 같은 금속 이온을 실질적으로 함유하지 않는다. 본원에 사용된 용어 "실질적으로 함유하지 않는"은 할로겐화물 이온(또는 할로겐화물), 예컨대, 예를 들어, 염화물 및 불화물, 브롬화물, 요오드화물, Al3+ 이온, Fe2+, Fe3+, Ni2+, Cr3+와 관련하여, 5 ppm(중량 기준) 미만, 바람직하게는 3 ppm 미만, 더욱 바람직하게는 1 ppm 미만, 가장 바람직하게는 0 ppm을 의미한다. 염화물 또는 금속 이온은 규소 전구체에 대한 분해 촉매로 작용하는 것으로 알려져 있다. 최종 생성물 중 상당한 수준의 염화물은 규소 전구체가 분해되는 것을 유발할 수 있다. 규소 전구체의 점진적인 분해는 막 증착 공정에 직접적인 영향을 주어 반도체 제조업체가 막 사양을 충족하기 어렵게 만들 수 있다. 또한, 전구체의 저장 수명 또는 안정성이 규소 전구체의 높은 분해율에 의해 부정적인 영향을 받아 1-2년의 저장 수명을 보장하기 어렵게 만든다.
본원에 기재된 막 또는 코팅을 형성하기 위해 사용되는 방법은 유동성 화학 기상 증착 공정이다. 본원에 개시된 방법에 적합한 증착 공정의 예는 순환 유동성 화학 기상 증착(CFCVD), 또는 플라즈마 강화 유동성 화학 기상 증착(PEFCVD), 원격 활성화 화학 기상 증착(RACVD)을 포함하나 이에 제한되지는 않는다. 본원에 사용된 용어 "유동성 화학 기상 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되는 임의의 공정을 지칭하며, 이는 기판 표면 위에서 또는 기판 표면 상에서 반응 및/또는 분해되어 유동성인 유동성 올리고머 규소 함유 종을 제공하고 이는 이후 추가 처리 시 고체 막 또는 물질을 생성하고, 일부 경우에, 올리고머 종의 적어도 일부가 폴리머 종을 포함한다. 본원에 사용된 전구체, 시약 및 소스는 때때로 "기체"로 기재될 수 있지만, 전구체는 직접 기화, 버블링 또는 승화를 통해 불활성 기체와 함께 또는 불활성 기체 없이 반응기로 수송되는 액체 또는 고체일 수 있음이 이해된다. 일부 경우에, 기화된 전구체가 플라즈마 발생기를 통과한다. 한 실시양태에서, 막은 플라즈마 기반(예를 들어, 원격 생성 또는 계내) CVD 공정을 사용하여 증착된다. 본원에 사용된 용어 "반응기"는 반응 챔버 또는 증착 챔버를 포함하나 이에 제한되지는 않는다.
본원에 기재된 전구체 화합물은 증기 유도(vapor draw), 버블링 또는 직접 액체 주입(DLI)을 포함하나 이에 제한되지는 않는 다양한 방식으로 유동성 화학 기상 증착 반응기로 전달될 수 있다. 한 실시양태에서, 액체 전달 시스템이 이용될 수 있다. 다른 실시양태에서, 원격으로 생성된 플라즈마 종이, 반응기에서 조합되어 유동성 액체를 증착하기까지, 전구체의 증기로부터 떨어져 있도록 하기 위해, 반응기에 이중 플레넘 샤워헤드가 장착될 수 있다. 대안적인 실시양태에서, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대, 예를 들어 미네소타주 쇼어뷰 소재의 MSP 코포레이션에 의해 제조된 터보 기화기가 사용되어, 저휘발성 물질이 용량 측정되어 전달될 수 있게 하고, 이는 재현 가능한 수송 및 전구체의 열 분해가 없는 증착을 유도한다. 액체 전달 배합물에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 또는 대안적으로, 이를 포함하는 용매 배합물 또는 조성물에 사용될 수 있다. 따라서, 특정 실시양태에서 전구체 배합물은 기판 상에 막을 형성하기 위해 주어진 최종 사용 적용에서 바람직하고 유리할 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다.
특정 실시양태에서, 기판은 막의 하나 이상의 특성에 영향을 주는 하나 이상의 사전 증착 처리, 예컨대, 비제한적으로, 플라즈마 처리, 열처리, 화학 처리, 자외선 노출, 전자빔 노출, 및 이들의 조합에 노출될 수 있다. 이러한 사전 증착 처리는 불활성, 산화 및/또는 환원으로부터 선택된 분위기 하에서 실시될 수 있다.
전구체 화합물, 질소-함유 소스, 산소 소스, 수소 소스, 다른 전구체 또는 이들의 조합 중 적어도 하나에 에너지가 인가되어 반응을 유도하고 기판 상에 규소 함유 막 또는 코팅을 형성한다. 이러한 에너지는 비제한적으로, 열, 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법 및 이들의 조합에 의해 제공될 수 있다. 특정 실시양태에서, 2차 RF 주파수 소스는 기판 표면에서 플라즈마 특징을 개질시키는 데 사용될 수 있다. 증착이 플라즈마를 수반하는 실시양태에서, 플라즈마 생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마 생성 공정, 또는 대안적으로 플라즈마가 반응기의 외부에서 생성되어 반응기로 공급되는 원격 플라즈마 생성 공정을 포함할 수 있다.
앞서 언급한 바와 같이, 상기 방법은 표면 피처를 포함하는 기판 표면의 적어도 일부분 상에 막을 증착한다. 기판은 반응기에 배치되고 기판은 약 -20℃ 내지 약 200℃ 범위의 하나 이상의 온도로 유지된다. 하나의 특정 실시양태에서, 기판의 온도는 챔버의 벽보다 낮다. 경화 동안 막 수축을 제한하기 위해, 유동성이 나타나는 최고 온도, 바람직하게는 150℃ 미만의 온도에서 유동성 막을 증착하는 것이 유리할 수 있다.
앞서 언급한 바와 같이, 기판은 하나 이상의 표면 피처를 포함한다. 한 특정 실시양태에서, 표면 피처(들)는 폭이 1 ㎛ 이하, 또는 폭이 500 nm 이하, 또는 폭이 50 nm 이하, 또는 폭이 10 nm이다. 이러한 또는 다른 실시양태에서, 표면 피처의 종횡비(깊이 대 폭 비율)는, 존재하는 경우, 0.1:1 이상, 또는 1:1 이상, 또는 10:1 이상, 또는 20:1 이상, 또는 40:1 이상이다. 기판은 단결정 규소 웨이퍼, 탄화규소의 웨이퍼, 산화알루미늄(사파이어)의 웨이퍼, 유리 시트, 금속 호일, 유기 폴리머 막일 수 있거나 또는 폴리머, 유리, 규소 또는 금속성 3차원 물품일 수 있다. 기판은 산화규소, 질화규소, 비정질 탄소, 산탄화규소, 산질화규소, 탄화규소, 갈륨 비소, 질화갈륨 등의 막을 포함하는 당업계에 잘 알려진 다양한 물질로 코팅될 수 있다. 이러한 코팅은 기판을 완전히 코팅할 수 있고, 다양한 물질의 복수의 층에 있을 수 있으며, 물질의 기저층을 노출시키기 위해 부분적으로 에칭될 수 있다. 표면은 또한, 패턴으로 노출되고 기판을 부분적으로 코팅하도록 현상된 포토레지스트 물질을 그 위에 가질 수 있다.
본 발명의 한 양태에서, 기판은 Si, SiOx, SiN, SiGe, SiOC 및 SiON으로 이루어진 군으로부터 선택된 적어도 하나의 구성요소를 포함한다. 본 발명의 다른 양태에서, 본 발명의 규소 함유 막은 하드 마스크로서 사용될 수 있고 포토레지스트에 에칭 선택성을 제공할 수 있다. 본 발명의 추가 양태에서, 본 발명의 규소 함유 막은 전도성 물질 사이의 유전체 막으로서, 전도성과 다른 유전체 사이의 장벽으로서, 또는 샌드위치 유전체 내의 막으로서 기능한다.
특정 실시양태에서, 반응기는 대기압 미만 또는 750 torr 이하, 또는 100 torr 이하의 압력에 있다. 다른 실시양태에서, 반응기의 압력은 약 0.1 torr 내지 약 10 torr의 범위로 유지된다.
한 특정 실시양태에서, 적어도 하나의 화합물 및 플라즈마가 반응기에 도입되는 도입 단계는 약 -20 내지 약 200℃ 범위의 하나 이상의 온도에서 수행된다. 이러한 또는 다른 실시양태에서, 기판은 표면 피처를 포함하는 반도체 기판을 포함한다. 질소를 포함하는 플라즈마는 질소 플라즈마, 질소/수소 플라즈마, 질소/헬륨 플라즈마, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3, NF3 플라즈마, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다. 적어도 하나의 화합물 및 질소 소스는 반응하여 표면 피처 및 기판의 적어도 일부분 상에 질화규소 막(비화학량론적임) 또는 탄질화규소 막을 형성한다. 본원에 사용된 용어 "유기 아민"은 적어도 하나의 질소 원자를 갖는 유기 화합물을 설명한다. 유기아민의 예는, 메틸아민, 에틸아민, 프로필아민, 이소-프로필아민, tert-부틸아민, sec-부틸아민, tert-아밀아민, 에틸렌디아민, 디메틸아민, 트리메틸아민, 디에틸아민, 피롤, 2,6-디메틸피페리딘, 디-n-프로필아민, 디-이소-프로필아민, 에틸메틸아민, N-메틸아닐린, 피리딘 및 트리에틸아민이나, 이에 제한되지는 않는다.
다른 실시양태에서, 플라즈마 소스는 탄소 소스 플라즈마, 예를 들어 탄화수소 플라즈마, 탄화수소 및 헬륨을 포함하는 플라즈마, 탄화수소 및 아르곤을 포함하는 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 탄화수소 및 수소를 포함하는 플라즈마, 탄화수소 및 질소 소스를 포함하는 플라즈마, 탄화수소 및 산소 소스를 포함하는 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되나 이에 제한되지는 않는다. 적어도 하나의 화합물 및 탄소 소스는 반응하여 표면 피처 및 기판의 적어도 일부분 상에 탄화규소 막(비화학량론적임), 또는 탄질화규소 막을 형성한다.
상이한 실시양태에서, 플라즈마 소스는 수소 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 및 이들의 혼합물로부터 선택되나 이에 제한되지는 않는다. 적어도 하나의 화합물 및 플라즈마는 반응하여 표면 피처 및 기판의 적어도 일부분 상에 탄화규소 막, 또는 탄질화규소 막을 형성한다.
특정 실시양태에서, 규소 함유 막이 증착된 후, 기판은, 질화규소 막이 산화규소 또는 산질화규소를 형성하거나 탄화규소 막을 탄소 도핑된 산화규소 막으로 전환시키기에 충분한 특정 공정 조건 하에 산소 함유 소스로 선택적으로 처리된다. 산소 함유 소스는 물(H2O), 산소(O2), 산소 플라즈마, 오존(O3), NO, N2O, 일산화탄소(CO), 이산화탄소(CO2), N2O 플라즈마, 일산화탄소(CO) 플라즈마, 이산화탄소(CO2) 플라즈마, 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다.
특정 실시양태에서, 유동성 액체 또는 올리고머는 물질의 적어도 일부분을 조밀화하기 위해 약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 처리된다.
일부 실시양태에서, 후 열처리 물질은 플라즈마, 적외선, 화학 처리, 전자 빔, 또는 UV 광에 노출되어 조밀한 막을 형성한다.
상기 단계는 본원에 기재된 방법에 대한 하나의 주기를 정의하고; 이 주기는 원하는 두께의 규소 함유 막이 얻어질 때까지 반복될 수 있다. 이러한 또는 다른 실시양태에서, 본원에 기재된 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부 동안)수행될 수 있으며, 이들의 임의의 조합으로 수행될 수 있음이 이해된다. 화합물 및 다른 시약을 공급하는 각각의 단계는, 생성된 규소 함유 막의 화학량론적 조성을 변화시키기 위해 그들을 공급하는 지속시간을 변화시킴으로써 수행될 수 있다.
한 양태에서, 규소 함유 막을 증착하기 위한 방법이 제공되며, 상기 방법은,
-20℃ 내지 약 200℃ 범위의 하나 이상의 온도에 있는 반응기에 표면 피처를 포함하는 기판을 배치하는 단계;
하기 화학식으로 이루어진 군으로부터 선택된 적어도 하나의 Si-H 결합을 갖는 알킬하이드리도실란 화합물을 반응기에 도입하는 단계로서,
RnSiH4-n
상기 식에서 R은 선형 또는 분지형 C2 내지 C6 알킬 또는 C6-C10 아릴 기로부터 독립적으로 선택되고 n은 1, 2 및 3으로부터 선택된 수인 단계;
제1 및 제2 화합물을 적어도 부분적으로 반응시켜 유동성 액체 또는 올리고머를 형성하도록, 반응기에 플라즈마 소스를 제공하는 단계로서, 여기서 유동성 액체 또는 올리고머가 표면 피처의 일부분을 적어도 부분적으로 충진하는 단계를 포함한다. 상기 단계는 본원에 기재된 방법에 대한 하나의 주기를 정의하고; 이 주기는 원하는 두께의 규소 함유 막이 얻어질 때까지 반복될 수 있다. 이러한 또는 다른 실시양태에서, 본원에 기재된 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부 동안)수행될 수 있으며, 이들의 임의의 조합으로 수행될 수 있음이 이해된다. 화합물 및 다른 시약을 공급하는 각각의 단계는, 생성된 규소 함유 막의 화학량론적 조성을 변화시키기 위해 그들을 공급하는 지속시간을 변화시킴으로써 수행될 수 있다.
질소를 포함하는 플라즈마는 질소 플라즈마, 질소/수소 플라즈마, 질소/헬륨 플라즈마, 질소/아르곤 플라즈마, 암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다.
다른 실시양태에서, 플라즈마 소스는 탄소 소스 플라즈마, 예를 들어 탄화수소 플라즈마, 탄화수소 및 헬륨을 포함하는 플라즈마, 탄화수소 및 아르곤을 포함하는 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 탄화수소 및 수소를 포함하는 플라즈마, 탄화수소 및 질소 소스를 포함하는 플라즈마, 탄화수소 및 산소 소스를 포함하는 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되나 이에 제한되지는 않는다.
상기 중 임의의 실시양태에서 또는 대안적인 실시양태에서, 플라즈마 소스는 수소 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 및 이들의 혼합물로부터 선택되나 이에 제한되지는 않는다. 적어도 하나의 화합물과 플라즈마는 반응하여 표면 피처 및 기판의 적어도 일부분 상에 탄화규소 막을 형성한다.
특정 실시양태에서, 규소 함유 막이 증착된 후, 기판은, 탄화규소 또는 탄질화규소 막이 산화규소 또는 산질화규소 또는 탄소 도핑된 산화규소 막을 형성하기에 충분한 특정 공정 조건 하에 산소 함유 소스로 선택적으로 처리된다. 산소 함유 소스는 물(H2O), 산소(O2), 산소 플라즈마, 오존(O3), NO, N2O, 일산화탄소(CO), 이산화탄소(CO2), N2O 플라즈마, 일산화탄소(CO) 플라즈마, 이산화탄소(CO2) 플라즈마, 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다.
상기 중 임의의 실시양태에서 또는 대안적인 실시양태에서, 유동성 액체 또는 올리고머는 물질의 적어도 일부분을 조밀화하기 위해 약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 처리된다.
일부 실시양태에서, 후 열처리 물질은 플라즈마, 적외선, 화학 처리, 전자 빔, 또는 UV 광에 노출되어 조밀한 막을 형성한다. 본 발명의 한 실시양태에서, UV 광 노출에 대한 노출을 포함하는 후처리는 에틸렌 및 실란 기체 부산물을 방출하는 조건 하에 수행된다.
하기 실시예는 본 발명의 특정 실시양태를 예시하기 위해 제공되며 첨부된 청구범위를 제한하지 않는다.
실시예
유동성 화학 기상 증착(FCVD) 막을 중간 저항(8-12 Ωcm) 단결정 규소 웨이퍼 기판 및 Si 패턴 웨이퍼 상에 증착하였다. 특정 실시예에서, 생성된 규소 함유 막 또는 코팅은 사전 증착 처리, 예컨대, 비제한적으로, 플라즈마 처리, 열처리, 화학 처리, 자외선 노출, 적외선 노출, 전자빔 노출, 및/또는 막의 하나 이상의 특성에 영향을 미치는 기타 처리에 노출될 수 있다.
유동성 화학 기상 증착(FCVD) 막을 중간 저항(8-12 Ωcm) 단결정 규소 웨이퍼 기판 및 Si 패턴 웨이퍼 상에 증착하였다. 패턴 웨이퍼의 경우, 선호되는 패턴 폭은 5:1~20:1의 종횡비를 갖는 20~100nm이다. 증착은 이중 플레넘 샤워헤드를 사용하여, 어플라이드 머터리얼즈 프리시젼(Applied Materials Precision) 5000 시스템의 개질된 FCVD 챔버 상에서 수행하였다. 챔버는 직접 액체 주입(direct liquid injection, DLI) 전달 기능이 장착되었다. 전구체는 전구체의 끓는점에 따라 달라지는 전달 온도를 갖는 액체였다. 초기 유동성 산화규소 막을 증착하기 위해, 전형적인 액체 전구체 유속은 약 100 내지 약 5000 mg/min, 바람직하게는 1000 내지 2000 mg/min의 범위였고; 챔버 압력은 약 0.75 내지 12 Torr, 바람직하게는 0.5 내지 2 Torr 범위였다. 특히, 원격 전원은 2 내지 8 Torr로 작동하는, 2.455 GHz의 주파수로 0 내지 3000 W의 MKS 마이크로파 발생기에 의해 제공되었다. 증착 상태의 유동성 막을 조밀화하기 위해, 막을 100~1000 C, 바람직하게는 300~400 C에서 개질된 PECVD 챔버를 사용하여 진공에서 열 어닐링 및/또는 UV 경화했다. SCI 반사계 또는 울람(Woollam) 타원계에 의해 632 nm에서 두께 및 굴절률(RI)을 측정했다. 전형적인 막 두께는 약 10 내지 약 2000 nm 범위였다. 규소계 막의 결합 특성 수소 함량(Si-H 및 C-H)을 니콜렛(Nicolet) 투과 푸리에 변환 적외선 분광법(FTIR) 도구로 측정 및 분석했다. X-선 광전자 분광법(XPS) 분석을 수행하여 막의 원소 조성을 결정했다. 유전상수, 누설전류, 항복전계를 포함한 전기적 특성 측정을 위해 수은 탐침을 채택하였다. 2.0 nm의 해상도에서 Hitachi S-4800 시스템을 사용하여 단면 주사 전자 현미경(SEM)에 의해 Al 패턴화된 웨이퍼에 대한 유동성 및 갭 충진 효과를 관찰했다.
실시예 1: 트리에틸실란(3ES) 및 암모니아를 사용한 유동성 탄질화규소 막의 증착
트리에틸실란(3ES)을 원격 플라즈마 소스(RPS)를 사용한 유동성 SiNC 막 증착을 위한 전구체로 사용하였다. 3ES는 원격 마이크로파를 우회하는 샤워헤드를 통해 전달되었다. 액체 유동은 2100 mg/min였고 200 sccm의 헬륨이 DLI 전달을 위한 운반 기체로 첨가되었다. 500 sccm 헬륨과 500 sccm 암모니아의 혼합물을 마이크로파 어플리케이터를 통해 유동시켰고, 압력은 0.2 Torr였다. 기판 온도는 40℃였다. 마이크로파 전력은 3000 W였다. 증착된 상태의 막의 두께 및 굴절률은 각각 152 nm 및 1.55였다. 열 어닐링 후 두께 및 굴절률은 각각 150 nm 및 1.1.54였으며, 이는 승온에서 휘발성 올리고머의 손실이 거의 없음을 나타낸다. 열 어닐링 후 막을 400℃에서 4분간 UV 경화시켰고, 두께 및 굴절률은 각각 65 nm 및 1.54였다.
실시예 2: XPS를 위한 트리에틸실란(3ES) 및 암모니아를 사용한 유동성 탄질화규소 막의 증착
3ES 및 암모니아로부터 증착된 유동성 막은 공기 중에서 불안정하고 XPS에 의해 측정된 바와 같이 시간이 지남에 따라 ~20 원자% 산소를 흡수할 것이기 때문에 샘플을 증착시킨 다음, 막의 정확한 원소 조성을 얻기 위해 테트라메틸실란 및 암모니아를 사용하여 증착된 표준 조밀한 탄질화규소 PECVD 막으로 계내에서 캡핑하였다. 3ES는 원격 마이크로파를 우회하는 샤워헤드를 통해 전달되었다. 액체 유동은 2500 mg/min였고 200 sccm의 헬륨이 DLI 전달을 위한 운반 기체로 첨가되었다. 500 sccm 헬륨과 500 sccm 암모니아의 혼합물을 마이크로파 어플리케이터를 통해 유동시켰고 압력은 0.7 Torr였다. 기판 온도는 40℃였다. 마이크로파 전력은 3000 W였다. 증착된 상태의 막의 두께 및 굴절률은 각각 165 nm 및 1.53였다. 그런 다음 샘플을 300℃에서 5분 동안 열적으로 어닐링하고 테트라메틸실란으로부터 100 nm의 조밀한 SiCN으로 캡핑했다. XPS로 측정한 열적으로 어닐링된 막의 원소 조성은 62% C, 12% C, 25% Si 및 1% O이다. 동일한 조건 하에서 다른 샘플을 증착하였고, 300℃에서 5분 동안 열적으로 어닐링하고, 400℃에서 4분 동안 UV 어닐링한 다음 테트라메틸실란을 사용하여 100 nm의 조밀한 SiCN으로 계내에서 캡핑하였다. XPS로 측정한 열 어닐링 및 UV 경화 후 막의 원소 조성은 36% C, 20% N, 38% Si 및 6% O이고, 이는 UV 경화를 한 막에 탄소 손실이 있음을 나타낸다.
실시예 3: SEM을 위한 트리에틸실란(3ES) 및 암모니아를 사용한 유동성 탄질화규소 막의 증착
트리에틸실란(3ES)을 원격 플라즈마 소스(RPS)를 사용한 유동성 SiNC 막 증착을 위해 사용하였다. 3ES는 원격 마이크로파를 우회하는 샤워헤드를 통해 전달되었다. 액체 유동은 2500 mg/min였고 200 sccm의 헬륨이 DLI 전달을 위한 운반 기체로 첨가되었다. 100 sccm 헬륨과 500 sccm 암모니아의 혼합물을 마이크로파 어플리케이터를 통해 유동시켰고 압력은 0.7 Torr였다. 기판 온도는 40℃였다. 마이크로파 전력은 2000 W였다. 증착된 상태의 막을 300℃에서 5분 동안 열적으로 어닐링하였다. 증착된 상태의 막의 두께 및 굴절률은 각각 1675.8 nm 및 1.431였다. 열 어닐링 후 두께 및 굴절률은 각각 1249.9 nm 및 1.423이었고, 이는 승온에서 일부 휘발성 올리고머의 손실을 나타낸다. XPS로 측정한 열적으로 어닐링된 막의 원소 조성은 30.6% C, 40.0% O 및 29.4% Si였다. 열 어닐링 후 막의 유전 상수는 3.50였는데, 이는 댕글링 본드로 인한 약간의 수분 흡수 때문일 수 있다. UV 경화 후 두께 및 굴절률은 각각 968.3 nmn 및 1.349였으며, 이는 막이 UV 경화에 의해 개질되었고 약간의 다공성이 도입되었음을 나타낸다. XPS로 측정한 열 어닐링 및 UV 경화 후 막의 원소 조성은 21.6% C, 45.4% O 및 33.0% Si이고 이는 UV 경화를 한 막에 탄소 손실이 있음을 나타낸다. UV 경화 막의 유전 상수는 2.56이었다. 단면 SEM은 패턴화된 웨이퍼에서 양호한 갭-충진이 달성되었음을 나타낸다. 도 1은 열적으로 어닐링된 샘플에 대해 양호한 갭-충진을 보여주는 OSG 막의 단면 SEM 이미지이다.
주어진 실시예에서 알킬하이드리도실란에 질소가 없기 때문에 증착된 막에서 관찰된 질소는 암모니아로부터 유래한 것으로 예상할 수 있다. 따라서 산소 함유 활성종을 사용하는 경우 산소가 증착된 막에 통합될 것으로 예상되고; 대안적으로 수소가 활성화된 가스로 사용된다면 증착된 막은 약간의 수소와 함께 탄화규소로 구성될 것으로 예상된다.
본 발명의 특정 원리가 양태 또는 실시양태와 관련하여 위에서 설명되었지만, 이러한 설명은 본 발명의 범위를 제한하는 것이 아니라 단지 예로서 이루어진 것임을 분명히 이해해야 한다.

Claims (15)

  1. 유동성 화학 기상 증착 공정에서 규소 함유 막을 증착하는 방법으로서,
    -20℃ 내지 약 200℃ 범위의 하나 이상의 온도에 있는 반응기에 표면 피처(surface feature)를 포함하는 기판을 배치하는 단계;
    화학식 RnSiH4-n을 갖는 전구체 화합물을 반응기에 도입하는 단계로서, 상기 식에서 R은 선형 또는 분지형 C2 내지 C6 알킬 또는 C6-C10 아릴 기로부터 독립적으로 선택되고 n은 1, 2 및 3으로부터 선택된 수인 단계;
    화합물을 적어도 부분적으로 반응시켜 유동성 액체 또는 올리고머를 형성하도록, 반응기에 플라즈마 소스를 제공하는 단계로서, 여기서 유동성 액체 또는 올리고머는 표면 피처의 일부분을 적어도 부분적으로 충진하여 제1 막을 형성하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제공하는 단계에서 플라즈마 소스는 질소 플라즈마, 질소 및 수소를 포함하는 플라즈마, 질소 및 헬륨을 포함하는 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 암모니아 플라즈마, 암모니아 및 헬륨을 포함하는 플라즈마, 암모니아 및 아르곤을 포함하는 플라즈마, 암모니아 및 질소를 포함하는 플라즈마, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된 적어도 하나의 플라즈마 소스를 포함하는 방법.
  3. 제1항에 있어서, 상기 제공하는 단계에서 플라즈마 소스는 탄소 소스 플라즈마, 탄화수소 플라즈마, 탄화수소 및 헬륨을 포함하는 플라즈마, 탄화수소 및 아르곤을 포함하는 플라즈마, 이산화탄소 플라즈마, 일산화탄소 플라즈마, 탄화수소 및 수소를 포함하는 플라즈마, 탄화수소 및 질소 소스를 포함하는 플라즈마, 탄화수소 및 산소 소스를 포함하는 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된 적어도 하나의 플라즈마 소스를 포함하는 방법.
  4. 제1항에 있어서, 상기 제공하는 단계에서 플라즈마 소스는 수소 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 적어도 하나의 플라즈마 소스를 포함하는 방법.
  5. 제1항에 있어서, 상기 제공하는 단계에서 플라즈마 소스는 물(H2O) 플라즈마, 산소 플라즈마, 오존(O3) 플라즈마, NO 플라즈마, N2O 플라즈마, 일산화탄소(CO) 플라즈마, 이산화탄소(CO2) 플라즈마 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 플라즈마 소스를 포함하는 방법.
  6. 제1항에 있어서, 제1 막을 조밀화하기 위해 약 100℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 열처리를 수행하는 단계를 추가로 포함하는 방법.
  7. 제6항에 있어서, 조밀화된 제1 막을 플라즈마, 적외선, 화학 처리, 전자빔, 및 UV 광으로 이루어진 군으로부터 선택되는 적어도 하나의 추가 처리에 노출시켜 조밀화된 제1 막을 추가로 조밀화하는 단계를 추가로 포함하는 방법.
  8. 제1항에 있어서, 플라즈마 소스는 계내에서(in situ) 생성되는 방법.
  9. 제1항에 있어서, 플라즈마 소스는 원격으로 생성되는 방법.
  10. 제1항에 있어서, 반응기의 압력이 100 torr 이하로 유지되는 방법.
  11. 제1항에 있어서, 규소 함유 막이 탄화규소, 산화규소, 탄소 도핑된 질화규소, 탄소 도핑된 산화규소, 및 탄소 도핑된 산질화규소 막으로 이루어진 군으로부터 선택되는 방법.
  12. 제1항에 있어서, 전구체 화합물이 에틸실란, 디에틸실란, 트리에틸실란, 이소프로필디메틸실란, 이소프로필디에틸실란, 페닐디에틸실란, 및 벤질디에틸실란으로 이루어진 군으로부터 선택되는 방법.
  13. 제1항에 있어서, 전구체 화합물이 트리에틸실란인 방법.
  14. 화학식 RnSiH4-n을 갖는 규소 함유 막을 형성하기 위한 화학적 전구체로서, 상기 식에서 R은 선형 또는 분지형 C2 내지 C6 알킬 또는 C6-C10 아릴 기로부터 독립적으로 선택되고 n은 1, 2 및 3으로부터 선택된 수이고, 여기서 할로겐화물 이온 또는 Al3+ 이온, Fe2+ 이온, Fe3+ 이온, Ni2+ 이온 및 Cr3+ 이온으로 이루어진 군으로부터 선택된 금속 이온의 임의의 불순물이 중량 기준 5 ppm 미만의 농도로 존재하는, 화학적 전구체.
  15. 제1항의 방법에 의해 수득된 막.
KR1020227002032A 2019-06-21 2020-06-19 규소 함유 막의 증착을 위한 조성물 및 이의 사용 방법 KR20220024786A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962864693P 2019-06-21 2019-06-21
US62/864,693 2019-06-21
PCT/US2020/038588 WO2020257550A1 (en) 2019-06-21 2020-06-19 Compositions and methods using same for deposition of silicon-containing film

Publications (1)

Publication Number Publication Date
KR20220024786A true KR20220024786A (ko) 2022-03-03

Family

ID=74040690

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227002032A KR20220024786A (ko) 2019-06-21 2020-06-19 규소 함유 막의 증착을 위한 조성물 및 이의 사용 방법

Country Status (7)

Country Link
US (1) US20220349049A1 (ko)
EP (1) EP3977508A4 (ko)
JP (1) JP2022537057A (ko)
KR (1) KR20220024786A (ko)
CN (1) CN114174553A (ko)
TW (1) TWI744957B (ko)
WO (1) WO2020257550A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116904959A (zh) * 2023-07-13 2023-10-20 淮安捷泰新能源科技有限公司 一种碳化硅薄膜制备方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US20160329206A1 (en) * 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
KR102259262B1 (ko) * 2016-07-19 2021-05-31 어플라이드 머티어리얼스, 인코포레이티드 유동성 실리콘-함유 막들의 증착
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features

Also Published As

Publication number Publication date
US20220349049A1 (en) 2022-11-03
JP2022537057A (ja) 2022-08-23
EP3977508A1 (en) 2022-04-06
WO2020257550A1 (en) 2020-12-24
EP3977508A4 (en) 2023-06-14
TW202100797A (zh) 2021-01-01
CN114174553A (zh) 2022-03-11
TWI744957B (zh) 2021-11-01

Similar Documents

Publication Publication Date Title
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
KR102482618B1 (ko) 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
CN107429391B (zh) 组合物和使用所述组合物沉积含硅膜的方法
JP7139475B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを用いた方法
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP7485732B2 (ja) 組成物、及びケイ素含有膜の堆積のための組成物を使用する方法
US20220349049A1 (en) Compositions and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
A201 Request for examination