JP2017533589A - ケイ素含有膜の堆積のための組成物及びそれを使用した方法 - Google Patents

ケイ素含有膜の堆積のための組成物及びそれを使用した方法 Download PDF

Info

Publication number
JP2017533589A
JP2017533589A JP2017522023A JP2017522023A JP2017533589A JP 2017533589 A JP2017533589 A JP 2017533589A JP 2017522023 A JP2017522023 A JP 2017522023A JP 2017522023 A JP2017522023 A JP 2017522023A JP 2017533589 A JP2017533589 A JP 2017533589A
Authority
JP
Japan
Prior art keywords
plasma
silicon
group
branched
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017522023A
Other languages
English (en)
Other versions
JP6871161B2 (ja
JP2017533589A5 (ja
Inventor
レイ シンジエン
レイ シンジエン
ム−ソン キム
ム−ソン キム
アール.マクドナルド マシュー
アール.マクドナルド マシュー
シヤオ マンチャオ
シヤオ マンチャオ
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2017533589A publication Critical patent/JP2017533589A/ja
Publication of JP2017533589A5 publication Critical patent/JP2017533589A5/ja
Application granted granted Critical
Publication of JP6871161B2 publication Critical patent/JP6871161B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Silicon Polymers (AREA)

Abstract

本明細書で説明されるのは、ケイ素含有膜の半導体堆積プロセスにおいて、例えば、限定されないが、プラズマ原子層堆積において、ケイ素含有膜又は材料、例えば、限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭素ドープ窒化ケイ素、又は炭素ドープ酸化ケイ素の膜を形成するための組成物及びそれを使用する方法である。

Description

本出願は、2014年10月24日に出願された、出願番号第62/068248号の利益を主張する。出願番号第62/068248号の開示は、参照することにより、本明細書に組み込まれる。
本明細書で説明されるのは、電子デバイスの製作のためのプロセス及び組成物である。より具体的には、本明細書で説明されるのは、プラズマ原子層堆積(PEALD)プロセスで、ケイ素含有膜を形成するための組成物である。本明細書で説明される組成物及び方法を使用して堆積することができる例示のケイ素含有膜としては、限定されないが、化学当量又は非化学当量の窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、窒化ケイ素アルミニウム、酸化ケイ素、及び炭酸化ケイ素の膜が挙げられる。
窒化ケイ素は、しばしば、異なる構造を電気的に絶縁するため又はバルクマイクロマシニングにおけるエッチングマスクとして、集積回路の製造において、絶縁体及び化学バリアとして使用される。マイクロチップのための不動態化層として、それは、マイクロエレクトロニクスにおいて腐食及び不安定性の2つの主要源である、水分子及びナトリウムイオンに対して極めて良好な拡散バリアであるため、二酸化ケイ素より優れている。それはまた、アナログチップ内のキャパシタにおけるポリシリコン膜間での誘電体として使用される。
窒化ケイ素又は膜を形成するための商業的な方法の1つでは、前駆体反応剤としてジクロロシラン及びアンモニアを用いる。ジクロロシラン及びアンモニアのような前駆体を使用した低圧化学気相堆積(LPCVD)は、最良の膜特性を得るために、高い堆積温度を要求する。例えば、妥当な成長速度及び均一性を得るために、750℃超の温度を要求することがある。他の処理の問題は、塩素及び塩素副産物の有害な側面を伴う。
より新規の半導体デバイスの多くでは、低いエッチ速度、高い膜応力、又はその両方を有する窒化ケイ素膜を要求する。膜が600℃未満の温度で形成され、良好な電気特性を保持することがまた好ましく、時折必要である。膜の硬度は、電気部品の設計において考慮するまた別の因子であり、窒化ケイ素膜は、極めて硬い膜を提供する。
高品質な膜とみなされる1つ又は複数の基準を満たす、低温、例えば、約500℃以下又は約400℃以下でのコンフォーマルな、化学当量及び非化学当量の窒化ケイ素膜の堆積は、長年の産業の課題となっている。高品質な膜を要求する、アドバンスドパターニング又はスペーサーのような半導体分野において、幾つかの用途が存在する。窒化ケイ素膜は、他の窒化ケイ素膜と比較して、以下の特性:2.0グラム毎立方センチメートル(g/cc)以上の密度、低いウェットエッチ速度(希釈フッ酸(HF)中で測定された場合)、及びそれらの組み合わせのうち1つ又は複数を有する場合は、「高品質」であるとみなされる。これらの又は他の実施形態において、窒化ケイ素膜についての反射率は、1.8以上であるべきである。
したがって、当技術分野において、コンフォーマルな高品質の窒化ケイ素膜であって、その膜が、他の堆積方法又は前駆体を使用した他の窒化ケイ素膜と比較して、以下の特性:1.8以上の反射率、2.0グラム毎立方センチメートル(g/cc)以上の密度、低いウェットエッチ速度(希釈フッ酸(HF)中で測定された場合)、及びそれらの組み合わせのうち1つ又は複数を有する窒化ケイ素膜を堆積するための、低温(例えば、約500℃以下の処理温度)の方法を提供するためのニーズが存在する。
本明細書で説明されるのは、炭素、酸素又はそれらの組み合わせをさらに含むことがある化学当量又は非化学当量の窒化ケイ素膜を、基材の少なくとも一部に形成するための方法及び組成物である。1つの態様において、窒化ケイ素膜を堆積するための組成物は、
Figure 2017533589
からなる群より選択され、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、少なくとも1つのケイ素前駆体化合物を含む。代替実施形態において、式IIA〜IIDを有する本明細書で説明されるケイ素前駆体化合物は、他のケイ素含有膜又は材料、例えば、限定されないが、酸化ケイ素膜を堆積するために使用することができる。
別の態様において、
(a)以下、
Figure 2017533589
からなる群より選択され、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、少なくとも1つのケイ素前駆体化合物と、
(b)ある沸点を有する溶媒であって、溶媒の沸点と少なくとも1つの前駆体化合物の沸点の間の差が40℃以下である、溶媒とを含む、ケイ素含有材料を形成するための組成物であって、組成物が実質的にハライドイオンを含まない、組成物が提供される。本明細書で説明される組成物の幾つかの実施形態において、1つ又は複数の例示の溶媒としては、限定されないが、エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、3級アミノエーテル、及びそれらの組み合わせを挙げることができる。
別の態様において、窒化ケイ素膜を堆積するための方法であって、方法が、
a.反応器中に1つ又は複数の基材を設置する工程と、
b.以下、
Figure 2017533589
からなる群より選択され、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、少なくとも1つのケイ素前駆体化合物を導入する工程であって、化合物の少なくとも一部が、化学吸着層を提供するのに十分な処理条件下で反応する、工程と、
c.パージガスで反応器をパージする工程と、
d.反応器中に窒素を含むプラズマ源を導入して、化学吸着層の少なくとも一部と反応させる工程であって、プラズマが約0.01〜約1.5W/cm2の範囲の電力密度で生成される、工程と、
e.任意選択で、不活性ガスで反応器をパージする工程、
を含み、工程b〜eが、窒化ケイ素膜の所望の厚さが得られるまで繰り返される方法、が提供される。
例2で説明される方法及び式IIBの前駆体化合物を使用した場合の、オングストローム(Å)で測定した窒化ケイ素膜の厚さと、窒化ケイ素のプラズマ原子層堆積の温度との間の関係を提供する。 例2で説明される方法及び前駆体化合物についての、Å/サイクルで測定した堆積膜の厚さの成長と、ケイ素前駆体のパルス時間(秒で測定)との間の関係を提供する。 例2で説明される300℃での窒素プラズマ及び式IIBの前駆体を使用した場合の、サイクル数に対する、Åで測定した窒化ケイ素膜の厚さを提供する。
高品質な膜とみなされる1つ又は複数の基準を満たす、低温、例えば、500℃以下又は400℃以下でのコンフォーマルな、化学当量及び非化学当量の窒化ケイ素膜の堆積は、長年の産業の課題となっている。説明を通じて、「窒化ケイ素」という用語は、本明細書で使用される場合、化学当量又は非化学当量の窒化ケイ素、炭窒化ケイ素、炭酸窒化ケイ素、窒化ケイ素アルミニウム、及びそれらの混合物からなる群より選択されるケイ素及び窒素を含む膜を言い表す。窒化ケイ素膜は、他の窒化ケイ素膜と比較して、以下の特性:2.0グラム毎立方センチメートル(g/cc)以上の密度、低いウェットエッチ速度(希釈フッ酸(HF)中で測定された場合)、及びそれらの組み合わせのうち1つ又は複数を有する場合は、「高品質」であるとみなされる。これらの又は他の実施形態において、窒化ケイ素膜についての反射率は、1.8以上であるべきである。1つの実施形態において、本明細書で説明されるのは、式IIA〜IIDを有するケイ素前駆体化合物を使用した、ケイ素含有膜を形成するための組成物又は材料である。また、本明細書で説明されるのは、窒素と任意選択で希ガス又は不活性ガスとを含むプラズマプロセスにおいて、低温又は約20℃〜約500℃の範囲の1つ又は複数の堆積温度で、本明細書で説明される式IIA〜IIDを使用して窒化ケイ素膜を堆積する、原子層堆積(ALD)又はALD的方法である。
本明細書で説明されるのは、ケイ素及び窒素を含む化学当量又は非化学当量の窒化ケイ素膜を、基材の少なくとも一部に形成するための方法である。幾つかの実施形態において、窒化ケイ素膜は炭素をさらに含むことができる。幾つかの実施形態において、窒化ケイ素膜はアルミニウムをさらに含むことができ、例えば、窒化ケイ素アルミニウム膜である。幾つかの実施形態において、窒化ケイ素膜は酸素をさらに含むことができ、例えば、酸窒化ケイ素膜である。この又は他の実施形態において、窒化ケイ素膜は酸素と炭素とを含み、例えば、炭酸窒化ケイ素膜である。
代替実施形態において、式IIA〜IIDを有する少なくとも1つのケイ素前駆体化合物を含む組成物は、酸化ケイ素材料又は膜を堆積するために使用することができる。説明を通じて、「酸化ケイ素」という用語は、本明細書で使用される場合、化学当量又は非化学当量の酸化ケイ素、炭素ドープ酸化ケイ素、炭酸窒化ケイ素及びそれらの混合物からなる群より選択されるケイ素及び窒素を含む膜を言い表す。
本明細書で説明される窒化ケイ素は、以下の式IIA〜IID:
Figure 2017533589
により表され、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される少なくとも1つのケイ素前駆体化合物を使用して堆積される。
理論に拘束されるわけではないが、式IIA、IIB及びIIDにおいて、3つ以上のSi−N結合と、任意選択で3つ以上のSi−H3基とを有するケイ素前駆体化合物は、基材表面の少なくとも一部に対してより反応的になり、したがって、堆積プロセスの間に表面上のより多くのケイ素断片を固定すると考えられる。これは、次に、表面特徴、例えば、限定されないが、孔、トレンチ、及び/又はビアを含む基材に対して、膜の成長速度を増加させ、より良好な表面被覆を提供し、それによって、表面上にコンフォーマルな窒化ケイ素又は他のケイ素含有膜を堆積することが可能となる。式IIBの化合物の例は、ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン)である。式IICの化合物の例は、トリス(エチルシリル)アミンである。ケイ素前駆体化合物がトリス(エチルシリル)アミンである実施形態において、エチレンは、それによって、追加のSi反応部位を作り、同時に、前駆体中のSi−H含有量を減らす、堆積プロセスにおける脱離基として作用すると考えられる。
上記の式IIA〜IIDにおいて及び説明を通じて、「直鎖状アルキル」という用語は、1〜10個、3〜10個、又は1〜6個の炭素原子を有する直鎖状官能基を示す。例示の直鎖状アルキル基としては、限定されないが、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル基が挙げられる。上記の式IIA〜IIDにおいて及び説明を通じて、「分枝状アルキル」という用語は、3〜10個、又は1〜6個の炭素原子を有する分枝状官能基を示す。例示の分枝状アルキル基としては、限定されないが、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、イソ−ペンチル、tert−ペンチル、イソヘキシル、及びネオヘキシルが挙げられる。幾つかの実施形態において、アルキル基は、それに付着する1つ又は複数の官能基、例えば、限定されないが、アルコキシ基、ジアルキルアミノ基又はそれらの組み合わせを有することができる。他の実施形態において、アルキル基は、それに付着する1つ又は複数の官能基を有しない。アルキル基は、飽和又は代替的に不飽和であることができる。
上記の式IIA〜IIDにおいて及び説明を通じて、「ハライド」という用語は、塩化物、臭化物、ヨウ化物又はフッ化物イオンを示す。
上記の式IIA〜IIDにおいて及び説明を通じて、「環状アルキル」という用語は、3〜10個又は5〜10個の原子を有する環状基を示す。例示の環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル、及びシクロオクチル基が挙げられる。幾つかの実施形態において、環状アルキル基は、1つ又は複数のC1〜C10の直鎖状、分枝状置換基、又は酸素若しくは窒素原子を含有する置換基を有することができる。この又は他の実施形態において、環状アルキル基は、置換基として、1つ又は複数の直鎖状若しくは分枝状アルキル基又はアルコキシ基、例えば、メチルシクロヘキシル基又はメトキシシクロヘキシル基を有することができる。
上記の式IIA〜IIDにおいて及び説明を通じて、「アリール」という用語は、3〜10個の炭素原子、5〜10個の炭素原子、又は6〜10個の炭素原子を有する芳香族環状官能基を示す。例示のアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−キシリルが挙げられる。
上記の式IIA〜IIDにおいて及び説明を通じて、「アルケニル基」という用語は、1つ又は複数の炭素−炭素二重結合を有し、2〜12個、2〜10個、又は2〜6個の炭素原子を有する基を示す。例示のアルケニル基としては、限定されないが、ビニル又はアリル基が挙げられる。
上記の式IIA〜IIDにおいて及び説明を通じて、「アルキニル基」という用語は、1つ又は複数の炭素−炭素三重結合を有し、2〜12個又は2〜6個の炭素原子を有する基を示す。
上記の式IIA〜IIDにおいて及び説明を通じて、「不飽和」という用語は、本明細書で使用される場合、官能基、置換基、環又はブリッジが1つ又は複数の炭素二重結合又は三重結合を有することを意味する。不飽和環の例は、限定されないが、フェニル環のような芳香環であることができる。「飽和」という用語は、官能基、置換基、環又はブリッジが1つ又は複数の二重結合又は三重結合を有しないことを意味する。
幾つかの実施形態において、式中のアルキル基、アルケニル基、アルキニル基、アルコキシシリルアルキル基、アルコキシ基、アリールオキシ、アロイルオキシ、アリール基、及び/又は芳香族基のうち1つ又は複数は、「置換基」であるか、又は、例えば、水素原子の位置で置換された1つ又は複数の原子又は原子の群を有することができる。例示の置換基としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素、アルキル基、亜リン酸が挙げられる。他の実施形態において、式中のアルキル基、アルケニル基、アルキニル基、アルコキシアルキル基、アルコキシ基、アルキルアミノアルキル基、芳香族及び/又はアリール基のうち1つ又は複数は非置換であることができる。
本明細書で説明されるケイ素含有材料及び膜を形成するために使用される方法は、堆積プロセスである。本明細書で開示される方法に対して適切な堆積プロセスの例としては、限定されないが、プラズマALD(PEALD)又はプラズマ周期的CVD(PECCVD)プロセスが挙げられる。本明細書で使用される場合、「化学気相堆積プロセス」という用語は、基材が1つ又は複数の揮発性の前駆体にさらされ、それにより基材表面上で反応及び/又は分解して、所望の堆積を製造する、任意のプロセスを言い表す。本明細書で使用される場合、「原子層堆積プロセス」という用語は、様々な組成の基材上にケイ素含有膜又は材料を堆積する、自己制限的な(例えば、各反応サイクルで堆積される膜材料の量が一定である)連続表面化学を言い表す。本明細書で使用される前駆体、反応剤及び源は、時折、「ガス状」と説明されることがあるが、前駆体は、不活性ガスを用いて又は用いずに、直接気化、バブリング又は昇華により反応器中に輸送される液体又は固体のいずれかであることができることが理解される。幾つかの場合において、気化した前駆体はプラズマ生成器を通過することができる。1つの実施形態において、窒化ケイ素膜は、プラズマALDプロセスを使用して堆積される。別の実施形態において、窒化ケイ素膜はプラズマCCVDプロセスを使用して堆積される。「反応器」という用語は、本明細書で使用される場合、限定されないが、反応チャンバー又は堆積チャンバーが挙げられる。ALD的プロセスは、本明細書では、以下:エリプソメーターで測定した場合に約5%以下の非均一性の割合、1Å/サイクル以上の堆積速度、又はそれらの組み合わせのうち少なくとも1つを有することで示されるように、基材上に、窒化ケイ素又は炭窒化ケイ素のような高コンフォーマルな窒化ケイ素膜を提供する、周期的CVDプロセスとして規定される。
式IIA〜IIDを有するケイ素前駆体化合物を、様々な方法で、CVD又はALD反応器のような反応チャンバーに送ることができる。1つの実施形態において、液体輸送システムを利用することができる。代替実施形態において、低い揮発性の材料が容量輸送されることができるようにし、それが、前駆体の熱分解なく再現可能な移送及び堆積をもたらす、複合液体輸送及びフラッシュ気化プロセスユニットを利用することができ、それは、例えば、Shoreview,MNのMSP Crporation製のターボ気化装置である。液体輸送配合物において、本明細書で説明される前駆体は、原液の液体形態で運ぶことができるか、又は代替的に、それを含む溶媒の配合物又は組成物中で用いることができる。したがって、幾つかの実施形態において、前駆体配合物は、基材上に膜を形成するために、所与の最終的な使用用途において望ましく、有利であることができるように、適切な性質の1つ又は複数の溶媒成分を含むことができる。
本明細書で説明される方法の1つの実施形態において、ケイ素含有膜又は材料の少なくとも一部が基材上に堆積される表面を有する基材は、反応器の堆積チャンバー中に設置される。基材の温度は、反応器の壁未満になるように制御することができる。基材の温度は、約室温(例えば、20℃)〜約500℃の温度で保たれる。基材の温度についての代替的な範囲は、以下の端点のうち1つ又は複数を有する:20、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、及び500℃。例示の温度の範囲としては、以下:20〜475℃、100〜400℃、又は175〜350℃が挙げられる。
堆積方法に応じて、幾つかの実施形態において、1つ又は複数のケイ素含有前駆体化合物を、所定のモル容積又は約0.1〜約1000マイクロモルで、反応器中に導入することができる。この又は他の実施形態において、ケイ素前駆体又は式IIA〜IIDを含むケイ素前駆体及び溶媒を、所定の時間間隔で、反応器中に導入することができる。幾つかの実施形態において、時間間隔は、約0.001〜約500秒間の範囲である。
幾つかの実施形態において、ケイ素含有膜は窒化ケイ素を含む。これらの実施形態において、本明細書で説明される方法を使用して堆積されるケイ素含有膜は、窒素含有源の存在下で形成される。窒素含有源は、少なくとも1つの窒素含有源の形態で反応器中に導入されることがあり、及び/又は、堆積プロセスで使用される他の前駆体中で偶然に存在することがある。適切な窒素含有源ガスとしては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素を含むプラズマ、窒素及び水素を含むプラズマ、窒素及びヘリウムを含むプラズマ、窒素及びアルゴンを含むプラズマ、アンモニアプラズマ、窒素及びアンモニアを含むプラズマ、アンモニア及びヘリウムを含むプラズマ、アンモニア及びアルゴンを含むプラズマ、NF3プラズマ、有機アミンプラズマ、並びにそれらの混合物を挙げることができる。他の実施形態において、プラズマは、水素プラズマ、ヘリウムプラズマ、ネオンプラズマ、アルゴンプラズマ、キセノンプラズマ、水素/ヘリウムプラズマ、水素/アルゴンプラズマ、及びそれらの混合物からなる群より選択される。1つの特定の実施形態において、窒素含有源は、最終的なケイ素含有膜中に追加の水素を導入することを防止するために、水素を実質的に含まなく(2重量パーセント(wt%)以下を有し)、窒素プラズマ、窒素/ヘリウム、窒素/アルゴンプラズマからなる群より選択される。別の実施形態において、窒素含有源は、モノアルキルヒドラジン、ジアルキルヒドラジンから選択される。炭窒化ケイ素の堆積については、窒素含有源は、有機アミンプラズマ、例えば、メチルアミンプラズマ、ジメチルアミンプラズマ、トリメチルアミンプラズマ、エチルアミンプラズマ、ジエチルアミンプラズマ、トリメチルアミンプラズマ、エチレンジアミンプラズマからなる群より選択することができる。説明を通じて、「有機アミン」という用語は、本明細書で使用される場合、有機アミンが少なくとも1つの窒素原子を有することを説明する。有機アミンの例としては、限定されないが、メチルアミン、エチルアミン、プロピルアミン、イソ−プロピルアミン、tert−ブチルアミン、sec−ブチルアミン、tert−アミルアミン、エチレンジアミン、ジメチルアミン、トリメチルアミン、ジエチルアミン、ピロール、2,6−ジメチルピペリジン、ジ−n−プロピルアミン、ジ−イソ−プロピルアミン、エチルメチルアミン、N−メチルアニリン、ピリジン、トリエチルアミンが挙げられる。同様に、説明を通じて、「有機アミノ基」という用語は、本明細書で使用される場合、上で説明されたような2級又は1級有機アミン由来の少なくとも1つの窒素原子からなる有機基を言い表す。「有機アミノ基」は、−NH2基を含まない。
幾つかの実施形態において、窒素含有源は、約1〜約2000標準立方センチメートル(sccm)又は約1〜約1000sccmの範囲の流量で、反応器中に導入される。窒素含有源を、約0.1〜約100秒間の範囲である時間で導入することができる。膜がALD又は周期的CVDプロセスにより堆積される実施形態において、前駆体パルスは、0.01秒間超であるパルス間隔を有することができ、窒素含有源は、0.01秒間未満であるパルス間隔を有することができる。また別の実施形態において、パルス間のパージ間隔は、0秒と同じ程度低い場合があるか又は間でパージなく連続的にパルス化される。
幾つかの実施形態において、本明細書で説明される方法を使用して堆積されるケイ素含有膜は、酸素を含む酸素含有源、反応剤又は前駆体を使用して、酸素の存在下で形成される。酸素含有源を、少なくとも1つの酸素含有源の形態で、反応器中に導入することができる。この又は他の実施形態において、酸素含有源は、堆積プロセスで使用されるその他の前駆体中に偶然に存在することがある。適切な酸素含有源ガスとしては、例えば、水(H2O)(例えば、脱イオン水、精製水、及び/又は蒸留水)、酸素(O2)、酸素プラズマ、オゾン(O3)、NO、N2O、NO2、一酸化炭素(CO)、二酸化炭素(CO2)、及びそれらの組み合わせを挙げることができる。幾つかの実施形態において、酸素含有源は、約1〜約2000標準立方センチメートル(sccm)又は約1〜約1000sccmの範囲の流量で、反応器中に導入される。酸素含有源を、約0.1〜約100秒間の範囲の時間で導入することができる。1つの特定の実施形態において、酸素含有源は、10℃以上の温度を有する水を含む。膜がALD又は周期的CVDプロセスにより堆積される実施形態において、前駆体パルスは、0.01秒間超であるパルス間隔を有することができ、酸素含有源は、0.01秒間未満であるパルス間隔を有することができ、水パルス間隔は、0.01秒間未満であるパルス間隔を有することができる。また別の実施形態において、パルス間のパージ間隔は、0秒と同じ程度低い場合があるか又は間でパージなく連続的にパルス化される。酸素含有源又は反応剤は、ケイ素前駆体に対して1未満:1の比の分子量で提供され、少なくともある炭素が堆積されたケイ素含有膜において保持される。
幾つかの実施形態において、導入工程での反応器の温度は、約室温(例えば、20℃)〜約500℃の範囲の1つ又は複数の温度である。基材の温度についての代替的な範囲は、以下の端点のうち1つ又は複数を有する:20、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、及び500℃。例示の温度範囲としては、以下:20〜475℃、100〜400℃又は175〜350℃が挙げられる。
反応を誘導し、ケイ素含有膜若しくはコーティング又は科学吸着層を基材の少なくとも一部に形成するために、エネルギーを少なくとも1つの前駆体化合物、窒素含有源、酸素含有源、他の反応剤又はそれらの組み合わせに適用する。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子ビーム、光子、遠隔プラズマ法、及びそれらの組み合わせによって与えることができる。幾つかの実施形態において、二次RF周波数源を使用して、基材表面でプラズマ特性を改質することができる。堆積がプラズマを伴う実施形態において、プラズマ生成プロセスは、プラズマが反応器中で直接生成される直接プラズマ生成プロセス、又は代替的に、プラズマが反応器の外側で生成されて反応器中に供給される遠隔プラズマ生成プロセス、を含むことができる。本明細書で説明される方法の幾つかの実施形態において、プラズマは、約0.01〜約1.5W/cm2の範囲の電力密度で生成される。
本明細書で開示される堆積方法は、1つ又は複数のパージガスを含むことができる。消費されない反応剤及び/又は反応副産物をパージするために使用されるパージガスは、前駆体と反応しない不活性ガスである。例示のパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)、及びそれらの混合物が挙げられる。幾つかの実施形態において、パージガスは、約10〜約2000sccmの範囲の流量で、約0.1〜1000秒間、反応器中に供給され、それによって、反応器中に残ることがある任意の副産物及び未反応材料をパージする。
前駆体、酸素含有源、窒素含有源、及び/又は他の前駆体、原料ガス、及び/又は反応剤を供給する各々の工程は、得られる膜又は材料の化学当量組成を変えるために、それらを供給する時間を変えることにより行うことができる。アルゴン及び/又は他のガスの流れは、前駆体をパルス送りする間に、少なくとも1つの前駆体化合物の蒸気を反応チャンバーに送るのを助けるための、キャリアガスとして用いることができる。幾つかの実施形態において、反応チャンバーのプロセス圧力は、約10Torr以下、5Torr以下、2Torr以下、1Torr以下である。
本明細書で説明されるALD又はCCVD法の1つの実施形態において、化合物が基材の表面上で化学的に吸着することを可能とするために、基材は、最初に前駆体化合物にさらされる反応チャンバー中のヒーターステージ上で加熱される。窒素、アルゴン、又は他の不活性ガスのようなパージガスは、プロセスチャンバーから、吸着しなかった余分な前駆体化合物をパージする。十分なパージを行った後、窒素含有源を反応器中に導入し、吸着表面と反応させ、その後、別のガスパージを行い、チャンバーから反応副産物を除去することができる。プロセスのサイクルを、所望の膜を得るために繰り返すことができる。他の実施形態において、真空下でのポンピングを使用して、プロセスチャンバーから、吸着しなかった余分な前駆体化合物を除去することができ、ポンピング下での十分な排気の後、窒素含有源を反応チャンバー中に導入して、吸着表面と反応させて、その後、別のポンプダウンパージ(pumping down purge)を行い、チャンバーから反応副産物を除去することができる。また別の実施形態において、前駆体化合物及び窒素含有源を反応チャンバー中に共に流して、基材表面で反応させて、窒化ケイ素を堆積することができる。周期的CVDの幾つかの実施形態において、パージ工程は使用されない。
この又は他の実施形態において、本明細書で説明される方法の工程は、様々な順序で行うことができ、連続して又は同時に(例えば、別の工程の少なくとも一部中に)行うことができ、それらの組み合わせであることができることが理解される。前駆体及び窒素含有源ガスを供給する各々の工程は、得られるケイ素含有膜の化学当量組成を変えるために、それらを供給する時間の間隔を変えることによって行うことができる。
1つの態様において、窒化ケイ素膜を形成する方法であって、
a.反応器中に基材を提供する工程と、
b.以下、
Figure 2017533589
からなる群より選択され、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される少なくとも1つのケイ素前駆体化合物を反応器中に導入する工程であって、化合物の少なくとも一部が、化学吸着層を提供するのに十分な処理条件下で反応する、工程と、
c.パージガスで反応器をパージする工程と、
d.反応器中に窒素を含むプラズマを導入して、化学吸着層の少なくとも一部と反応させ、少なくとも1つの反応部位を提供する工程と、
e.任意選択で、不活性ガスで反応器をパージする工程、
を含み、工程b〜eが、窒化ケイ素膜の所望の厚さが得られるまで繰り返される方法が提供される。
本明細書で説明されるケイ素前駆体、及び、本発明に係る式IIA〜IIDにより表される3つ以上のSi−N結合と、任意選択で3つ以上のSi−H3基を有するケイ素前駆体を含む組成物は、塩化物のようなハライドイオン又はAlのような金属イオンを実質的に含まないことが好ましい。本明細書で使用される場合、「実質的に含まない」という用語は、それが、塩化物、フッ化物、臭化物、ヨウ化物のようなハライドイオン(又はハライド)、Al3+イオン、Fe2+、Fe3+、Ni2+、Cr3+に関する場合は、5wtppm未満、好ましくは3wtppm未満、より好ましくは1wtppm未満、最も好ましくは0wtppmを意味する。塩化物又は金属イオンは、ケイ素前駆体に対して分解触媒として作用すると知られている。最終製品中の塩化物の有意なレベルは、ケイ素前駆体を劣化させる場合がある。ケイ素前駆体の徐々の劣化は、膜堆積プロセスに直接影響することがあり、半導体製造者が膜の仕様を満たすことを難しくさせる。それに加えて、貯蔵寿命又は安定性は、ケイ素前駆体のより高い劣化速度によって悪影響を受け、それによって、1〜2年の貯蔵寿命を保証するのを難しくする。さらに、ケイ素前駆体は、分解の際に可燃性及び/又は自然発火性のガス、例えば、水素及びシランを形成することが知られている。したがって、ケイ素前駆体の加速した分解により、これらの可燃性及び/又は自然発火性のガス副産物の形成に関する安全及び性能の懸念事項が存在する。
ハライドを実質的に含まない本発明に係る組成物は、最終的な浄化製品が塩化物を実質的に含まないように、(1)化学合成の際に塩化物源を減らす若しくは除去する、及び/又は(2)粗製品から塩化物を除去する有効な浄化プロセスを実施することで、得ることができる。クロロジシラン、ブロモジシラン、又はヨードジシランのようなハライドを含有しない反応剤を使用し、それによって、ハライドイオンを含有する副産物の製造を防止することで、塩化物源を合成の際に減らすことができる。それに加えて、前述した反応剤は、得られた粗製品が塩化物不純物を実質的に含まないように、塩化物不純物を実質的に含まないべきである。同様の方法において、合成では、受け入れられない高レベルのハライド不純物を含有する溶媒、触媒、又はハライド系溶媒を使用すべきでない。粗製品はまた、最終製品が塩化物のようなハライドを実質的に含まないようにするために、様々な浄化方法によって処理することができる。そのような方法は、従来技術で良く説明され、限定されないが、蒸留、又は吸着のような浄化プロセスを挙げることができる。蒸留は、沸点の差を利用することで所望の製品から不純物を分離するために一般的に使用される。吸着はまた、最終製品がハライドを実質的に含まないように、分離を達成するために、成分の異なる吸着特性を有効に活用するために使用することができる。商業的に利用可能であるMgO−Al23配合物のような吸着剤は、塩化物のようなハライドを除去するために使用することができる。
本明細書で説明される式IIA〜IIDを有するケイ素前駆体及び1つ又は複数の溶媒を含む組成物に関連するそれらの実施形態について、選択される溶媒又はそれらの混合物は、ケイ素前駆体と反応しない。組成物中の重量パーセントでの溶媒の量は、0.5〜99.5wt%又は10〜75wt%の範囲である。この又は他の実施形態において、溶媒は、式IIのケイ素前駆体のb.p.と同様な沸点(b.p.)を有するか、又は溶媒のb.p.と式IIのケイ素前駆体のb.p.との間の差は40℃以下、30℃以下、又は20℃以下、10℃以下、又は5℃以下である。代替的に、沸点間の差は、以下の端点:0、10、20、30、又は40℃のうち任意の1つ又は複数からの範囲を取る。b.p.の差の適切な範囲の例としては、限定されないが、0〜40℃、20〜30℃、又は10〜30℃が挙げられる。組成物中の適切な溶媒の例としては、限定されないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、3級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペラジン、N,N,N’,N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルキル炭化水素(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、3級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)、又はそれらの混合物が挙げられる。幾つかの非限定的な例示の組成物としては、限定されないが、ビス(ジシリルアミノ)シラン(b.p.約135℃)及びオクタン(b.p.125〜126℃)を含む組成物;ビス(ジシリルアミノ)シラン(b.p.約135℃)及びエチルシクロヘキサン(b.p.130〜132℃)を含む組成物;ビス(ジシリルアミノ)シラン(b.p.約135℃)及びシクロオクタン(b.p.149℃)を含む組成物;ビス(ジシリルアミノ)シラン(b.p.約135℃)及びトルエン(b.p.115℃)を含む組成物が挙げられる。
別の実施形態において、式IIA〜IIDを有する1つ又は複数のケイ素前駆体化合物を含むケイ素含有膜を堆積するための容器は、本明細書で説明される。1つの特定の実施形態において、容器は、CVD又はALDプロセスのための反応器への1つ又は複数の前駆体の輸送を可能とする適切な弁及び継手を備えた、(好ましくはステンレス鋼の)少なくとも1つの加圧可能な容器を含む。この又は他の実施形態において、ケイ素前駆体化合物は、ステンレス鋼で構成される加圧可能な容器中に提供され、ケイ素前駆体の純度は、多くの半導体用途に適した98wt%以上又は99.5wt%以上である。幾つかの実施形態において、そのような容器はまた、必要であれば、前駆体を1つ又は複数の追加の前駆体と混合するための手段を有することができる。これらの又は他の実施形態において、1つ又は複数の容器の内容物は、追加の前駆体と予備混合することができる。代替的に、本明細書で説明されるケイ素前駆体化合物及び/又は他の前駆体は、別々の容器中で保持することができるか、又は、保管する間に式IIA〜IIDを有するケイ素前駆体と他の前駆体とを別々に保持するための分離手段を有する単一の容器中で保持することができる。
幾つかの実施形態において、本明細書で説明される方法は、上記の式IIA〜IIDを有するケイ素前駆体以外の、1つ又は複数の追加のケイ素含有前駆体をさらに含む。追加のケイ素含有前駆体の例としては、限定されないが、モノアミノシラン(例えば、ジ−イソ−プロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン);有機ケイ素化合物、例えば、トリシリルアミン(TSA);モノアミノシラン(ジ−イソ−プロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン);シロキサン(例えば、ヘキサメチルジシロキサン(HMDSO)及びジメチルシロキサン(DMSO));有機シラン(例えば、メチルシラン、ジメチルシラン、ジエチルシラン、ビニルトリメチルシラン、トリメチルシラン、テトラメチルシラン、エチルシラン、ジシリルメタン、2,4−ジシラペンタン、1,4−ジシラブタン、2,5−ジシラヘキサン、2,2−ジシリルプロパン、1,3,5−トリシラシクロヘキサン及びこれらの化合物のフッ素化誘導体);フェニル含有有機ケイ素化合物(例えば、ジメチルフェニルシラン及びジフェニルメチルシラン);酸素含有有機ケイ素化合物、例えば、ジメチルジメトキシシラン;1,3,5,7−テトラメチルシクロテトラシロキサン;1,1,3,3−テトラメチルジシロキサン;1,3,5,7−テトラシラ−4−オキソ−ヘプタン;2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン;2,2−ジメチル−2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン;オクタメチルシクロテトラシロキサン;[1,3,5,7,9]−ペンタメチルシクロペンタシロキサン;1,3,5,7−テトラシラ−2,6−ジオキソ−シクロオクタン;ヘキサメチルシクロトリシロキサン;1,3−ジメチルジシロキサン;1,3,5,7,9−ペンタメチルシクロペンタシロキサン;ヘキサメトキシジシロキサン、及びこれらの化合物のフッ素化誘導体が挙げられる。
幾つかの実施形態において、本明細書で説明される式IIA〜IIDを有するケイ素前駆体はまた、金属含有膜、例えば、限定されないが、金属酸化物膜又は金属窒化物膜のためのドーパントとして使用することができる。これらの実施形態において、金属含有膜は、金属アルコキシド、金属アミド、又は揮発性有機金属前駆体を使用した本発明の明細書で説明されるプロセスのような、ALD又はCVDプロセスを使用して堆積される。本明細書で開示される方法で使用することができる適切な金属アルコキシド前駆体の例としては、限定されないが、3〜6族金属アルコキシド、アルコキシ及びアルキル置換シクロペンタジエニル配位子の両方を有する3〜6族金属錯体、アルコキシ及びアルキル置換ピロリル配位子の両方を有する3〜6族金属錯体、アルコキシ及びジケトネート配位子の両方を有する3〜6族金属錯体;アルコキシ及びケトエステル配位子の両方を有する3〜6族金属錯体が挙げられる。本明細書で開示される方法で使用することができる適切な金属アミド前駆体の例としては、限定されないが、AlCl3、トリメチルアルミニウム(TMA)、トリエチルアルミニウム、塩化メチルアルミニウム、トリス(ジメチルアミノ)アルミニウム(TDMAA)、トリス(ジメチルアミノ)アルミニウム(TDMAA)、及びトリス(ジエチルアミノ)アルミニウム(TDEAA)、及び他の揮発性アルミニウム前駆体、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミノイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミノイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミノイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン、並びにそれらの組み合わせが挙げられる。本明細書で開示される方法で使用することができる適切な有機金属前駆体の例としては、限定されないが、3族金属シクロペンタジエチル又はアルキルシクロペンタジエニルが挙げられる。本明細書における例示の3〜6族金属としては、限定されないが、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及びWが挙げられる。
前で述べたように、本明細書で説明される方法は、基材の少なくとも一部に窒化ケイ素膜を堆積するために使用することができる。適切な基材の例としては、限定されないが、ケイ素、SiO2、Si34、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、IGZOのようなフレキシブル基材、有機ポリマー、多孔性有機及び無機材料、銅及びアルミニウムのような金属、及び拡散バリア層、例えば、限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、又はWNが挙げられる。膜は、様々なその後の処理プロセス、例えば、化学機械平坦化(CMP)及び異方性エッチングプロセスに適合する。
堆積された膜は、限定されないが、コンピュータチップ、光学デバイス、磁気情報記憶装置、支持材料又は基材上のコーティング、マイクロエレクトロメカニカルシステム(MEMS)、ナノエレクトロメカニカルシステム、薄膜トランジスタ(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO、及び液晶ディスプレイ(LCD)を含む用途を有する。
幾つかの実施形態において、基材は表面特徴を有する。1つの特定の実施形態において、基材は、任意選択で、その上に100μm未満の幅、好ましくは1μm未満の幅、最も好ましくは0.5μm未満の幅の小サイズの特徴部を有する。その特徴部のアスペクト比(深さ:幅の比)は、存在する場合は、1超:1、好ましくは4超:1、最も好ましくは8超:1である。
基材は、単結晶シリコンウエハ、炭化ケイ素のウエハ、酸化アルミニウム(サファイア)のウエハ、ガラス板、金属箔、有機ポリマー膜であることができるか又はポリマー、ガラス、シリコン若しくは金属3次元の物品であることができる。基材は、当技術分野で良く知られている様々な材料、例えば、酸化ケイ素、窒化ケイ素、アモルファス炭素、酸炭化ケイ素、酸窒化ケイ素、炭化ケイ素、ガリウム砒素、ガリウムナイトライドなどの膜で、コーティングすることができる。これらのコーティングは、基材を完全にコーティングすることができ、様々な材料の複数の層中にあることができ、材料の下地層を露出するために部分的にエッチングすることができる。表面はまた、パターンと共に露出され、基材を部分的にコーティングするために作られたフォトレジスト材料をその上に有することができる。
以下の例は、本明細書で説明されるケイ素含有材料又は膜を堆積するための方法を例示するが、任意の方法でそれを限定することを意図しない。
以下の例においては、別段の記載がない限り、中抵抗率(14〜17Ωcm)の単結晶シリコンウエハ基材上に堆積された試料膜から特性を得た。全ての膜の堆積を、シャワーヘッド設計を有し、13.56MHzの直接プラズマを使用するCN−1反応器又はプラズマなしのクロスフロー式のCN−1反応器(比較例)を使用して得た。典型的なプロセス条件においては、別段の記載がない限り、チャンバー圧力を、約1〜約5torrの範囲の圧力で固定した。アルゴン又は窒素のような追加の不活性ガスを使用してチャンバー圧力を保持した。使用した典型的なRF電力は、150mmウエハサセプタの電力領域にわたって125Wであり、0.7W/cm2の電力密度を提供した。
例1:ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン、式IIB)の合成
窒素保護の下、ドデカン中の2.5グラムのB(C653の溶液(0.2重量%(wt%)、9.8×10-6モル(mol))を、トリシリルアミン(500g、4.66モル(mol))を含有する1リットル(L)の丸底フラスコに加えた。シランガスが、ガスバブルとして急放出し始めた。反応溶液を、20℃の内部温度に保持しながらおよそ1時間撹拌した。反応溶液の質量が30%減少した後、4,4−ビピリジンを触媒毒として追加し(1.25g、8.00×10-3モル)、バブリングがすぐに止まった。冷却した反応混合物を2時間撹拌した後、揮発性物質を、−78℃に冷却した第2の1Lフラスコ中に真空供給した(25〜35℃/1Torr)。収集した粗液を、ガスクロマトグラフィー(GC)及びガスクロマトグラフィー−質量分析(GC−MS)で決定して、トリシリルアミンとN,N’−ジシリルトリシラザンとの約1:1の混合物になった。分別真空蒸留による浄化(58℃/50Torr)により、99%超の純度、沸点(b.p.)=135℃を持つ無色の液体として、164gのN,N’−ジシリルトリシラザンを得た。GC−MSは、以下の質量ピーク:181(M−1)、149、119、104、91、72を示した。
例2:ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン、式IIB)及び窒素プラズマを使用したPEALDの窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。ビス(ジシリルアミノ)シランをケイ素前駆体として使用し、プラズマ源として窒素プラズマを使用した。ALDサイクルを、以下のプロセスパラメータを使用して行った。
a.反応器の準備とウエハの設置
チャンバー圧力:2torr
b.反応器へのケイ素前駆体の導入
窒素の全流量:1000標準立方センチメートル(sccm)
ケイ素前駆体パルス:1秒間
c.パージ
窒素の全流量:1000sccm
パージ時間:10秒間
d.プラズマの導入
窒素の全流量:1000sccm
プラズマ電力:125W
プラズマパルス:10秒間
e.パージ
窒素の全流量:1000sccm
パージ時間:10秒間
工程b〜eを300サイクル繰り返した。得られた窒化ケイ素膜の反射率は2.0であったのに対し、サイクルあたりの成長(GPC)は約0.90Å/サイクルであり、高品質の窒化ケイ素を、ビス(ジシリルアミノ)シラン前駆体化合物を使用して得ることができることを示した。
ケイ素前駆体としてのビス(ジシリルアミノ)シランのPEALD挙動をさらに確認するために、追加の実験を行った。図1は、式IIB前駆体及び窒素プラズマを使用した窒化ケイ素のプラズマ原子層堆積の温度依存性を示し、この前駆体についてのALD窓は、少なくとも約400℃以下であることを示した。図2は、300℃での窒素プラズマを使用した式IIB前駆体の、パルス時間に対する堆積した窒化ケイ素の膜厚を示し、0.1秒間で自己制限挙動を示し、式IIB前駆体の高い反応性を示した。図3は、300℃での窒素プラズマ及び式IIB前駆体を使用した、サイクル数に対する堆積した窒化ケイ素の膜厚を示し、サイクルあたりの成長が約0.9Å/サイクルであることを示した。
更なる実験において、工程dでのプラズマ電力を250ワットに設定したことを除き、電力工程b〜eを300サイクル繰り返した。得られた窒化ケイ素の膜厚は、230Åであり、0.77Å/サイクルのサイクルあたりの成長(GPC)に相当した。窒化ケイ素膜の反射率は2.0であった。
例3:ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン、式IIB)及びアンモニアプラズマを使用したPEALDの窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。ビス(ジシリルアミノ)シランをケイ素前駆体として使用し、プラズマ源としてアンモニアプラズマを使用した。ALDサイクルを、以下のプロセスパラメータを使用して行った。
a.反応器の準備とウエハの設置
チャンバー圧力:2torr
b.反応器へのケイ素前駆体の導入
アルゴンの全流量:1000sccm
ケイ素前駆体パルス:0.2秒間
c.パージ
アルゴンの全流量:1000sccm
パージ時間:10秒間
d.プラズマの導入
アルゴンの全流量:1000sccm
アンモニアの全流量:500sccm
プラズマ電力:125W
プラズマパルス:10秒間
e.パージ
窒素の全流量:1000sccm
パージ時間:10秒間
工程b〜eを300サイクル繰り返した。堆積した窒化ケイ素の厚さは約29Åであり、アンモニアプラズマは、例2の条件のような同様の条件下で、窒素プラズマと比較して良好な窒素源でないことを示した。
比較例3:ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン、式IIB)及びアンモニアを使用した熱ALDの窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で350℃に加熱した。ビス(ジシリルアミノ)シランをケイ素前駆体として使用した。ALDサイクルを、以下のプロセスパラメータを使用して行った。
a.反応器の準備とウエハの設置
チャンバー圧力:2torr
b.反応器へのケイ素前駆体の導入
アルゴンの全流量:1000sccm
ケイ素前駆体パルス:0.2秒間
c.パージ
アルゴンの全流量:1000sccm
パージ時間:10秒間
d.アンモニアの導入
アルゴンの全流量:1000sccm
アンモニアの全流量:500sccm
プラズマパルス:10秒間
e.パージ
アルゴンの全流量:1000sccm
パージ時間:10秒間
工程b〜eを200サイクル繰り返した。例3と比較して、プラズマを使用しなかった場合には、基材上に堆積は観測されなかった。
例4:ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン、式IIB)及び水素/窒素プラズマを使用したPEALDの窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。ビス(ジシリルアミノ)シランをケイ素前駆体として使用し、プラズマ源として窒素プラズマを使用した。ALDサイクルを、以下のプロセスパラメータを使用して行った。
a.反応器の準備とウエハの設置
チャンバー圧力:2torr
b.反応器へのケイ素前駆体の導入
窒素の全流量:1000sccm
ケイ素前駆体パルス:0.2秒間
c.パージ
窒素の全流量:1000sccm
パージ時間:10秒間
d.プラズマの導入
窒素の全流量:1000sccm
水素の全流量:500sccm
プラズマ電力:125W
プラズマパルス:10秒間
e.パージ
窒素の全流量:1000sccm
パージ時間:10秒間
工程b〜eを300サイクル繰り返した。堆積した窒化ケイ素の厚さは約45Åであり、0.15Å/サイクルのGPCに相当した。この実験は、水素/窒素プラズマが、例2のような同様の条件下で、窒素プラズマと比較して良好な窒素源でないことを示した。
例5:ビス(ジシリルアミノ)シラン(別名、N,N’−ジシリルトリシラザン)式IIB及び水素/窒素プラズマを使用したPEALDの窒化ケイ素膜
シリコンウエハを、13.56MHzの直接プラズマを持つシャワーヘッド設計を備えたCN−1反応器中に設置し、2torrのチャンバー圧力で300℃に加熱した。ビス(ジシリルアミノ)シランをケイ素前駆体として使用し、プラズマ源として窒素プラズマを使用した。ALDサイクルを、以下のプロセスパラメータを使用して行った。
a.反応器の準備とウエハの設置
チャンバー圧力:2torr
b.反応器へのケイ素前駆体の導入
窒素の全流量:500sccm
水素の全流量:500sccm
ケイ素前駆体パルス:0.2秒間
c.パージ
窒素の全流量:500sccm
水素の全流量:500sccm
パージ時間:10秒間
d.プラズマの導入
窒素の全流量:500sccm
水素の全流量:500sccm
プラズマ電力:125W
プラズマパルス:10秒間
e.パージ
窒素の全流量:1000sccm
パージ時間:10秒間
工程b〜eを300サイクル繰り返した。堆積した窒化ケイ素の厚さは57Åであり、0.19Å/サイクルのGPCに相当した。この実験は、窒素に対する水素の比を変えることで、窒化ケイ素の堆積速度を改善することができるが、サイクルあたりの成長は、例2に示した窒素プラズマよりも極めて低いことを示した。工程bにおいて、ケイ素前駆体について1秒間のパルスを使用して別の実験を行ったところ、堆積した窒化ケイ素の厚さは約72Åであり、0.24Å/サイクルのGPCに相当した。

Claims (10)

  1. 窒化ケイ素又は酸化ケイ素の膜を堆積するための組成物であって、
    以下の式IIA〜IIB:
    Figure 2017533589
    を有し、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、少なくとも1つのケイ素前駆体化合物を含む、組成物。
  2. ケイ素含有材料を形成するための組成物であって、
    (a)以下の式IIA〜IID:
    Figure 2017533589
    を有し、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される、少なくとも1つのケイ素前駆体化合物と、
    (b)ある沸点を有する溶媒であって、前記溶媒の沸点と、前記ケイ素前駆体の沸点との間の差が40℃以下である溶媒との、組成物。
  3. 前記化合物が、ビス(ジシリルアミノ)シラン及びトリ(エチルシリル)アミンからなる群より選択される少なくとも1つを含む、請求項2に記載の組成物。
  4. 前記化合物がビス(ジシリルアミノ)シランを含む、請求項3に記載の組成物。
  5. エーテル、3級アミン、アルキル炭化水素、芳香族炭化水素、及び3級アミノエーテルからなる群より選択される少なくとも1つを含む、請求項2に記載の組成物。
  6. 前記溶媒が、オクタン、エチルシクロヘキサン、シクロオクタン、及びトルエンからなる群より選択される少なくとも1つを含む、請求項2に記載の組成物。
  7. 基材の表面の少なくとも一部に窒化ケイ素の膜を堆積するための方法であって、
    反応器中に前記基材を設置する工程と、
    以下の式IIA〜IID:
    Figure 2017533589
    を有し、式中、置換基Rが、独立して、水素、ハライド原子、直鎖状C1〜C10アルキル基、分枝状C3〜C10アルキル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルケニル基、直鎖状又は分枝状C3〜C12アルキニル基、C4〜C10環状アルキル基、及びC6〜C10アリール基から選択される少なくとも1つのケイ素前駆体化合物を、化学吸着層を提供するのに十分な条件下で、前記反応器中に導入する工程と、
    c.パージガスで前記反応器をパージする工程と、
    d.前記反応器中に窒素を含むプラズマ源を導入して、前記化学吸着層の少なくとも一部と反応させる工程と、
    e.任意選択で、不活性ガスで前記反応器をパージする工程と
    を含み、工程b〜eが、前記窒化ケイ素の膜の所望の厚さが得られるまで繰り返される、方法。
  8. 前記プラズマ源が、窒素プラズマ、窒素/ヘリウムプラズマ、窒素/アルゴンプラズマ、アンモニアプラズマ、アンモニア/ヘリウムプラズマ、アンモニア/アルゴンプラズマ、ヘリウムプラズマ、アルゴンプラズマ、水素プラズマ、水素/ヘリウムプラズマ、水素/アルゴンプラズマ、有機アミンプラズマ、及びそれらの混合物からなる群より選択される、請求項7に記載の方法。
  9. 前記少なくとも1つのケイ素前駆体化合物がビス(ジシリルアミノ)シランを含む、請求項7に記載の方法。
  10. 工程dでのプラズマが、約0.01〜約1.5W/cmの範囲の電力密度で生成される、請求項7に記載の方法。
JP2017522023A 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法 Active JP6871161B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462068248P 2014-10-24 2014-10-24
US62/068,248 2014-10-24
PCT/US2015/057045 WO2016065221A1 (en) 2014-10-24 2015-10-23 Compositions and methods using same for deposition of silicon-containing films

Publications (3)

Publication Number Publication Date
JP2017533589A true JP2017533589A (ja) 2017-11-09
JP2017533589A5 JP2017533589A5 (ja) 2021-02-18
JP6871161B2 JP6871161B2 (ja) 2021-05-12

Family

ID=54477308

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017522032A Withdrawn JP2017535077A (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2017522023A Active JP6871161B2 (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2019164049A Active JP6949912B2 (ja) 2014-10-24 2019-09-09 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2021152399A Active JP7152576B2 (ja) 2014-10-24 2021-09-17 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017522032A Withdrawn JP2017535077A (ja) 2014-10-24 2015-10-23 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2019164049A Active JP6949912B2 (ja) 2014-10-24 2019-09-09 ケイ素含有膜の堆積のための組成物及びそれを使用した方法
JP2021152399A Active JP7152576B2 (ja) 2014-10-24 2021-09-17 ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Country Status (8)

Country Link
US (4) US10316407B2 (ja)
EP (3) EP3209814B1 (ja)
JP (4) JP2017535077A (ja)
KR (3) KR102188751B1 (ja)
CN (4) CN107429391B (ja)
SG (3) SG10202000545RA (ja)
TW (3) TWI579399B (ja)
WO (2) WO2016065219A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020507199A (ja) * 2016-12-11 2020-03-05 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 薄膜堆積のための短鎖無機トリシリルアミン系ポリシラザン
JP2021513953A (ja) * 2018-02-21 2021-06-03 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ペルヒドロポリシラザン組成物及びそれを使用する窒化物膜の形成方法

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
EP3307744B1 (en) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and oxygen-containing thin films
EP3307745B1 (en) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition processes for forming silicon- and nitrogen-containing thin films
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR20190011817A (ko) * 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
WO2018063303A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Dielectric gap-fill material deposition
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) * 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
CN111902359A (zh) 2018-02-21 2020-11-06 乔治洛德方法研究和开发液化空气有限公司 全氢聚硅氮烷组合物和用于使用其形成氧化物膜的方法
CN111656510A (zh) * 2018-02-22 2020-09-11 应用材料公司 处理掩模基板以实现更佳的膜质量的方法
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20190382886A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane Compositions and Methods for Using the Compositions to Deposit Silicon Containing Films
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
JP6909762B2 (ja) * 2018-07-23 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
EP3872223A3 (en) * 2018-08-10 2021-11-17 Versum Materials US, LLC Silicon compounds and methods for depositing films using same
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
EP3900022A4 (en) * 2018-12-21 2022-09-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude PRECURSORS AND METHODS FOR DEPOSITING SI-CONTAINING FILMS USING ALD AT A TEMPERATURE GREATER OR EQUAL TO 550°C
US11649547B2 (en) * 2019-02-05 2023-05-16 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
WO2020257550A1 (en) * 2019-06-21 2020-12-24 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
TWI819257B (zh) * 2019-12-20 2023-10-21 美商應用材料股份有限公司 具有可調整碳含量之碳氮化矽間隙填充
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition
CN115565861A (zh) * 2021-07-02 2023-01-03 长鑫存储技术有限公司 一种薄膜沉积方法及半导体器件
US20230160058A1 (en) * 2021-11-24 2023-05-25 Entegris, Inc. Organotin precursor compounds

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009500864A (ja) * 2005-07-09 2009-01-08 アヴィザ テクノロジー インコーポレイテッド 均一バッチ膜被着工程および、それに従って生産されるフィルム
JP2009170823A (ja) * 2008-01-19 2009-07-30 Tokyo Electron Ltd 成膜方法及び成膜装置
US20120220139A1 (en) * 2009-10-14 2012-08-30 Asm Japan K.K. Method of depositing dielectric film by modified peald method
WO2013077321A1 (ja) * 2011-11-21 2013-05-30 株式会社日立国際電気 半導体装置の製造装置、半導体装置の製造方法及び記録媒体
JP2014013889A (ja) * 2012-06-01 2014-01-23 Air Products And Chemicals Inc 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2014132653A (ja) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc アルコキシシリルアミン化合物及びその応用

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US5008422A (en) 1985-04-26 1991-04-16 Sri International Polysilazanes and related compositions, processes and uses
EP0218117A3 (en) * 1985-10-11 1989-11-23 Allied Corporation Cyclosilazane polymers as dielectric films in integrated circuit fabrication technology
JPH0662775B2 (ja) 1987-06-12 1994-08-17 チッソ株式会社 新規ポリシラザン及びその製造方法
JP3060185B2 (ja) * 1991-05-01 2000-07-10 株式会社高純度化学研究所 半導体装置のシリコン酸化膜の製造方法
JPH06310493A (ja) * 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP3401322B2 (ja) * 1993-08-26 2003-04-28 富士通株式会社 絶縁膜を有する半導体装置の製造方法
US5567661A (en) 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5968611A (en) * 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
AU2001278749A1 (en) * 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
JP2003203800A (ja) * 2001-09-14 2003-07-18 Sekisui Chem Co Ltd 常圧プラズマ処理方法および装置
US6969769B2 (en) * 2002-06-14 2005-11-29 Vanson Halosource, Inc. N-halamine siloxanes for use in biocidal coatings and materials
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3666751B2 (ja) * 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
DE102004001288A1 (de) * 2004-01-07 2005-08-11 Clariant International Limited Hydrophile Beschichtung auf Polysilazanbasis
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US20050239295A1 (en) * 2004-04-27 2005-10-27 Wang Pei-L Chemical treatment of material surfaces
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7947330B2 (en) * 2004-10-19 2011-05-24 Toray Industries, Inc. Production method of film, and film
JP3788624B1 (ja) * 2005-01-18 2006-06-21 旭電化工業株式会社 シロキサン化合物及びフェノール化合物を含有してなる組成物
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
WO2007000186A1 (en) * 2005-06-29 2007-01-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition method of ternary films
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7547796B2 (en) * 2005-09-29 2009-06-16 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2011511881A (ja) * 2007-06-28 2011-04-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 二酸化ケイ素ギャップ充填材のための前駆体
JP2009032962A (ja) 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
JP5559988B2 (ja) 2009-06-03 2014-07-23 東京エレクトロン株式会社 シリコン酸化膜用成膜原料およびそれを用いたシリコン酸化膜の成膜方法
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
KR101752901B1 (ko) 2009-08-25 2017-06-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 재생 방법, 재생 반도체 기판의 제작 방법, 및 soi 기판의 제작 방법
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5692085B2 (ja) * 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
JP5623296B2 (ja) * 2010-01-15 2014-11-12 信越化学工業株式会社 トリシリルアミンの製造方法
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US8455768B2 (en) * 2010-11-15 2013-06-04 International Business Machines Corporation Back-end-of-line planar resistor
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US8530983B2 (en) * 2011-04-08 2013-09-10 Georgia Tech Research Corporation Piezo-phototronic effect devices
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
DE102011075974A1 (de) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Verfahren zur Herstellung von Trisilylamin in der Gasphase
US20130209343A1 (en) * 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
KR102029286B1 (ko) * 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
US20130260575A1 (en) * 2012-03-28 2013-10-03 Air Products And Chemicals, Inc. Silicon precursors and compositions comprising same for depositing low dielectric constant films
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9938303B2 (en) * 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
DE102012214290A1 (de) * 2012-08-10 2014-02-13 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Polysilazanen und Trisilylamin
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9878234B2 (en) * 2012-12-10 2018-01-30 Arlen Moller Incorporating objective assessments of fantasy-team-owners' physical activity into fantasy sport platforms
KR101599952B1 (ko) 2012-12-31 2016-03-04 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DE102013209802A1 (de) * 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
KR101600337B1 (ko) * 2013-06-07 2016-03-08 (주)디엔에프 신규한 아미노실릴아민 화합물, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9920077B2 (en) * 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6345006B2 (ja) * 2014-07-08 2018-06-20 キヤノン株式会社 インクジェット記録ヘッド用基板の製造方法
US20160079034A1 (en) * 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160156066A1 (en) * 2014-10-20 2016-06-02 Massachusetts Institute Of Technology Polymer electrolytes for electrochemical cells
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US11001599B2 (en) * 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US20170114465A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
EP3394315A4 (en) * 2015-12-21 2019-10-30 Versum Materials US, LLC COMPOSITIONS AND METHODS USING SAME FOR DEPOSITION OF SILICON-CONTAINING FILM
CN114231947A (zh) 2016-02-26 2022-03-25 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) * 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10647578B2 (en) * 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
US11078569B2 (en) * 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same
US20210140040A1 (en) * 2018-06-15 2021-05-13 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
KR20220038750A (ko) * 2019-07-25 2022-03-29 버슘머트리얼즈 유에스, 엘엘씨 실라시클로알칸을 포함하는 조성물 및 이를 이용한 규소 함유 필름의 증착 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009500864A (ja) * 2005-07-09 2009-01-08 アヴィザ テクノロジー インコーポレイテッド 均一バッチ膜被着工程および、それに従って生産されるフィルム
JP2009170823A (ja) * 2008-01-19 2009-07-30 Tokyo Electron Ltd 成膜方法及び成膜装置
US20120220139A1 (en) * 2009-10-14 2012-08-30 Asm Japan K.K. Method of depositing dielectric film by modified peald method
WO2013077321A1 (ja) * 2011-11-21 2013-05-30 株式会社日立国際電気 半導体装置の製造装置、半導体装置の製造方法及び記録媒体
JP2014013889A (ja) * 2012-06-01 2014-01-23 Air Products And Chemicals Inc 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2014132653A (ja) * 2012-12-11 2014-07-17 Air Products And Chemicals Inc アルコキシシリルアミン化合物及びその応用

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HONGGUN KIM, SEUNGHEON LEE ET AL: "Novel Flowable CVD Process Technology for sub-20nm Interlayer Dielectrics", 2012 IEEE INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, JPN6018044057, 2012, US, ISSN: 0004360497 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020507199A (ja) * 2016-12-11 2020-03-05 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 薄膜堆積のための短鎖無機トリシリルアミン系ポリシラザン
JP2021513953A (ja) * 2018-02-21 2021-06-03 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ペルヒドロポリシラザン組成物及びそれを使用する窒化物膜の形成方法
JP7069331B2 (ja) 2018-02-21 2022-05-17 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ペルヒドロポリシラザン組成物及びそれを使用する窒化物膜の形成方法

Also Published As

Publication number Publication date
SG11201703196WA (en) 2017-05-30
KR102079501B1 (ko) 2020-02-20
KR102332415B1 (ko) 2021-12-01
TWI579399B (zh) 2017-04-21
JP6871161B2 (ja) 2021-05-12
TW201720953A (zh) 2017-06-16
JP2022000913A (ja) 2022-01-04
CN113025992A (zh) 2021-06-25
US10316407B2 (en) 2019-06-11
JP6949912B2 (ja) 2021-10-13
CN107257867A (zh) 2017-10-17
SG10202000545RA (en) 2020-03-30
SG11201703195QA (en) 2017-05-30
TWI575102B (zh) 2017-03-21
US20170338109A1 (en) 2017-11-23
EP3209813A1 (en) 2017-08-30
CN107429391A (zh) 2017-12-01
US20170335449A1 (en) 2017-11-23
US20190017167A1 (en) 2019-01-17
KR102188751B1 (ko) 2020-12-08
EP3209813B1 (en) 2019-03-13
TW201615886A (zh) 2016-05-01
CN107429391B (zh) 2021-06-25
CN113373428B (zh) 2023-07-14
CN113373428A (zh) 2021-09-10
CN113025992B (zh) 2024-02-02
JP7152576B2 (ja) 2022-10-12
EP3209814A1 (en) 2017-08-30
WO2016065219A1 (en) 2016-04-28
JP2020014007A (ja) 2020-01-23
EP3431629B1 (en) 2021-11-24
KR20170075766A (ko) 2017-07-03
US20190271075A1 (en) 2019-09-05
US10106890B2 (en) 2018-10-23
KR20170074958A (ko) 2017-06-30
EP3431629A1 (en) 2019-01-23
EP3209814B1 (en) 2018-09-05
KR20200137054A (ko) 2020-12-08
JP2017535077A (ja) 2017-11-24
WO2016065221A1 (en) 2016-04-28
TWI658168B (zh) 2019-05-01
CN107257867B (zh) 2021-03-16
TW201615880A (zh) 2016-05-01

Similar Documents

Publication Publication Date Title
JP6871161B2 (ja) ケイ素含有膜の堆積のための組成物及びそれを使用した方法
KR102251774B1 (ko) 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
JP6600074B2 (ja) 窒化ケイ素膜を堆積するための組成物及び方法
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
JP6445375B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP6100734B2 (ja) アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法
JP2018195833A (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171004

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190409

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191008

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20201006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201222

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20201222

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20201222

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210106

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210112

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210316

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210415

R150 Certificate of patent or registration of utility model

Ref document number: 6871161

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150