KR102649241B1 - 고압 어닐링을 사용한 심 힐링 - Google Patents

고압 어닐링을 사용한 심 힐링 Download PDF

Info

Publication number
KR102649241B1
KR102649241B1 KR1020207024061A KR20207024061A KR102649241B1 KR 102649241 B1 KR102649241 B1 KR 102649241B1 KR 1020207024061 A KR1020207024061 A KR 1020207024061A KR 20207024061 A KR20207024061 A KR 20207024061A KR 102649241 B1 KR102649241 B1 KR 102649241B1
Authority
KR
South Korea
Prior art keywords
substrate
conformal layer
features
high pressure
processing
Prior art date
Application number
KR1020207024061A
Other languages
English (en)
Other versions
KR20200103850A (ko
Inventor
이홍 첸
루이 쳉
프라미트 만나
아비지트 바수 말릭
시시 지앙
용 우
쿨티스 레쉬키스
스리니바스 간디코타
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200103850A publication Critical patent/KR20200103850A/ko
Application granted granted Critical
Publication of KR102649241B1 publication Critical patent/KR102649241B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

본 개시내용의 양상들은 기판을 프로세싱하는 방법들을 포함한다. 방법은 심들을 포함하는 컨포멀 층을 기판 상에 증착하는 단계를 포함한다. 기판은 산화제의 존재 시에 고압 어닐링을 사용하여 처리된다.

Description

고압 어닐링을 사용한 심 힐링
[0001] 본 개시내용의 실시예들은 일반적으로, 집적 회로 제작 방법들에 관한 것으로, 특히, 반도체 디바이스들 내의 심(seam) 결함들을 수정하는 것에 관한 것이다.
[0002] 반도체 디바이스들의 소형화는 디바이스를 형성하는 재료 층들의 어레인지먼트(arrangement) 및 기하형상의 복잡성 증가를 계속 필요로 한다. 이들 중에서, 반도체 디바이스 상에 형성되는 피처(feature)들, 이를테면 트렌치(trench)들 및 비아(via)들을 재료로 적절하게 충전(fill)하는 것이, 피처들의 사이즈의 축소로 인해, 점점 더 어려워 지고 있다.
[0003] 피처들은 전형적으로, 증착 프로세스, 이를테면, CVD(chemical vapor deposition), PVD(physical vapor deposition), 또는 도금 프로세스들에 의해 충전되며, 이로 인해 피처들이 최적으로 충전되지 않을 수 있다. 피처의 상부 표면에 재료가 축적되는 것으로 인해 문제들이 발생된다. 피처의 에지들에서의 그러한 재료의 축적은, 피처를 완전히 그리고 고르게 충전하기 전에, 피처를 차단하거나 또는 그렇지 않으면 가로막아서, 피처 내에 공극들, 심들, 및 고르지 않은 구조들이 형성되게 할 수 있다. 수십 나노미터 범위의 트렌치들과 같은 더 작은 기하형상 디바이스들에 사용되는 더 작은 피처들은 더 큰 기하형상 디바이스들보다 더 큰 종횡비(즉, 피처 높이 대 폭의 관계)를 가질 필요가 있고, 그에 의해, 위에서 설명된 트렌치 및 비아 충전 어려움들을 악화시킨다.
[0004] 종래의 접근법들은 피처들 내의 심들 및 공동들을 보수하려는 시도로 다수의 사이클들의 증착 및 어닐링을 활용한다. 그러나, 이들 종래의 접근법들은 극도로 느린 프로세스 시간을 초래한다. 추가로, 이들 접근법들은 반도체 디바이스의 재료를 손상시킬 수 있고, 반도체 디바이스의 동작에서 신뢰성 문제들을 야기할 수 있다.
[0005] 따라서, 심 결함들을 수정하는 개선된 방법이 필요하다.
[0006] 일 실시예에서, 기판을 프로세싱하는 방법이 제공되며, 그 방법은, 프로세스 챔버에 복수의 기판 피처들을 갖는 기판을 포지셔닝하는 단계; 기판 피처들 상에 컨포멀(conformal) 층을 증착하는 단계; 및 고압 어닐링으로 기판을 처리하는 단계를 포함한다. 컨포멀 층이 증착될 때, 이웃하는 기판 피처들 사이에 심이 형성된다. 고압 어닐링은 산화제의 존재 시에 수행된다. 컨포멀 층 내의 심들의 부피는 고압 어닐링에 의해 감소된다.
[0007] 다른 실시예에서, 기판을 프로세싱하는 방법이 제공되며, 그 방법은, 프로세스 챔버에 복수의 기판 피처들을 갖는 기판을 포지셔닝하는 단계; 기판 피처들 상에 컨포멀 층을 증착하는 단계; 및 고압 어닐링으로 기판을 처리하는 단계를 포함한다. 컨포멀 층은 구조가 결정질이다. 컨포멀 층이 증착될 때, 이웃하는 기판 피처들 사이에 심이 형성된다. 고압 어닐링은 산화제의 존재 시에 수행된다. 컨포멀 층 내의 심들의 부피는 고압 어닐링에 의해 감소된다.
[0008] 다른 실시예에서, 기판을 프로세싱하는 방법이 제공되며, 그 방법은, 프로세스 챔버에 복수의 기판 피처들을 갖는 기판을 포지셔닝하는 단계; 기판 피처들 상에 컨포멀 층을 증착하는 단계; 및 고압 어닐링으로 기판을 처리하는 단계를 포함한다. 컨포멀 층은 구조가 결정질이다. 컨포멀 층이 증착될 때, 이웃하는 기판 피처들 사이에 심이 형성된다. 고압 어닐링은 산화제의 존재 시에 수행된다. 컨포멀 층 내의 심들의 부피는 고압 어닐링에 의해 감소된다. 컨포멀 층의 부피는 고압 어닐링 동안 팽창된다.
[0009] 고압 어닐링은 컨포멀 막들 사이에 위치된 심들의 사이즈를 감소시키는 것을 돕고, 여기서, 컨포멀 막들은 반도체의 인접한 피처들 상에 배치된다. 심 사이즈의 감소는 컨포멀 막의 전기 절연 특성들을 증가시킨다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 일 실시예에 따른, 기판을 프로세싱하는 방법의 흐름도이다.
[0012] 도 2a는 도 1의 방법의 하나의 동작에서의 기판의 단면도이다.
[0013] 도 2b는 도 1의 방법의 하나의 동작에서의 기판의 단면도이다.
[0014] 도 2c는 도 1의 방법의 하나의 동작에서의 기판의 단면도이다.
[0015] 도 2d는 도 1의 방법의 하나의 동작에서의 기판의 단면도이다.
[0016] 도 3은 예시적인 어닐링 챔버의 단면도이다.
[0017] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0018] 본원에서 제공되는 개시내용의 실시예들은 기판 상에 형성된 상호연결부(interconnect)들에서 결함들, 이를테면 심들을 제거하기 위해 기판을 처리하는 방법들을 포함한다. 일부 실시예들에서, 방법은 산화제의 존재 시에 가압 환경에서 기판을 가열하는 단계를 포함한다. 기판 상에 증착된 컨포멀 층들의 부피가 팽창되고, 그에 따라, 심의 대향 측들 상의 컨포멀 층들이 서로 화학적으로 가교 결합(cross-link)하여, 심들이 감소 또는 제거된다. 본 개시내용의 실시예들은 기판 피처들 상의 심들의 사이즈를 감소시키는 데(그러나 이에 제한되지는 않음) 유용한 것으로 입증될 수 있다.
[0019] 도 1은 본 개시내용의 일 실시예에 따른, 기판(210)을 프로세싱하기 위한 방법(100)의 흐름도이다. 도 2a 내지 도 2d는 방법(100)의 스테이지들에서의 기판의 개략적인 단면도들이다. 본 개시내용의 양상들의 설명을 용이하게 하기 위해, 도 2a 내지 도 2d는 도 1과 함께 설명될 것이다.
[0020] 방법(100)은 동작(102)에서 시작된다. 동작(102)에서, 기판(210)이 프로세스 챔버에 포지셔닝된다. 프로세스 챔버는, 예컨대, 증착 챔버이다. 기판(210)은 기판(210) 상에 형성된 하나 이상의 기판 피처들(212)을 포함한다. 여기서, 기판 피처들(212)은 4개의 트렌치들이다. 기판(210)은 포토마스크, 반도체 웨이퍼, 또는 다른 워크피스(workpiece)일 수 있다. 일부 실시예들에 따르면, 기판(210)은 집적 회로들, 수동(예컨대, 커패시터들, 인덕터들), 및 능동(예컨대, 트랜지스터들, 광 검출기들, 레이저들, 다이오드들) 마이크로전자 디바이스들 중 임의의 것을 제조하기 위한 임의의 재료를 포함한다. 기판(210)은 기판 상에 형성되는 전도성 층 또는 층들로부터 그러한 능동 및 수동 마이크로전자 디바이스들(또는 이들의 피처들)을 분리하는 절연성 또는 유전체 재료들을 포함한다. 일 실시예에서, 기판(210)은, 하나 이상의 유전체 층들, 예컨대, 실리콘 이산화물, 실리콘 질화물, 알루미늄 산화물, 및 다른 유전체 재료들을 포함하는 반도체 기판이다. 일 실시예에서, 기판(210)은 막들의 하나 이상의 층들을 포함한다. 기판(210)의 하나 이상의 층들은 전도성 층들, 반도체 층들, 절연성 층들, 또는 이들의 임의의 조합을 포함할 수 있다.
[0021] 동작(104)에서, 컨포멀 층(214)이 기판 피처들(212)에 증착된다. 일 실시예에 따르면, 컨포멀 층(214)은 실리콘-함유 층, 이를테면 실리콘 이산화물 층이다. 일 실시예에 따르면, 컨포멀 층(214)은 금속 산화물 층, 이를테면 알루미늄 산화물 또는 바나듐 산화물이다. 컨포멀 층(214)은 증착 프로세스에 의해 형성된다. 일부 실시예들에 따르면, 증착 프로세스는 CVD(chemical vapor deposition) 또는 ALD(atomic layer deposition)이다. 컨포멀 층(214)을 증착하기 위해, 제1 전구체가 프로세싱 챔버 내로 유동된다. 전구체는 실리콘(Si) 및 수소(H)를 포함할 수 있다. 일부 실시예들에 따르면, 전구체는 실란 전구체, 디실란 전구체, 트리실란 전구체, 또는 테트라실란 전구체를 포함한다. 제1 전구체는, 기판 피처들(212) 내에 실리콘 이산화물을 형성하기 위해, 제2 전구체 가스, 이를테면 산화제, 예컨대 오존 또는 산소 라디칼들과 반응된다. 증착 프로세스는, 예컨대, 섭씨 약 150도 내지 섭씨 약 700도, 섭씨 약 250도 내지 섭씨 약 600도, 섭씨 약 300도 내지 섭씨 약 550도, 이를테면 섭씨 약 350도 내지 섭씨 약 500도의 범위의 프로세싱 온도로 발생한다. 부가적으로, 증착 동안, 챔버는 감소된 압력으로 유지된다. 예컨대, 챔버 내의 압력은 약 5 Torr 내지 약 700 Torr, 약 10 Torr 내지 약 600 Torr, 이를테면 약 15 Torr 내지 약 500 Torr일 수 있다.
[0022] 도 2b에 도시된 바와 같이, 증착된 컨포멀 층(214)은 기판 피처들(212)에서 기판(210) 상에 증착된다. 컨포멀 층(214)은 기판 피처들(212)의 형상을 따르고, 그에 의해, 기판 피처들(212) 내에 심(216)이 형성된다. 명확성을 위해 심(216)의 사이즈가 과장된 것임이 유의되어야 한다. 일부 양상들에서, 기판 피처들(212)을 정의하는 인접한 구조들 상에 형성되는 컨포멀 층(214)은 각각의 기판 피처(212)의 대향 표면 상의 컨포멀 층(214)과 접촉할 정도로 충분한 두께로 증착될 수 있다. 달리 말하면, 심(216)은 반드시 물리적 공극을 포함할 필요가 있는 것이 아니라, 심(216)은, 예컨대, 기판 피처(212) 내에서 서로 접촉하는 컨포멀 층(214)의 부분들(240, 250)의 결과로서 형성될 수 있다. 심(216)의 존재는 기판 피처(212) 내의 갭충전의 전기 절연 특성들을 감소시킴으로써 기판 피처(212) 내의 갭충전의 성능에 악영향을 미친다.
[0023] 동작(106)에서, 컨포멀 층(214)이 상부에 있는 기판(210)은 어닐링 챔버로 이송된다. 동작(108)에서, 기판(210)은 고압 어닐링으로 처리된다. 고압 어닐링은 산화제(220), 이를테면 스팀(steam) 또는 스팀/산소 혼합물의 존재 시에 기판(210)에 대해 수행된다. 기판(210)은 어닐링 온도로 어닐링된다. 어닐링 온도는 섭씨 약 100도 내지 섭씨 약 600도, 이를테면 섭씨 약 150도 내지 섭씨 약 550도, 또는 섭씨 약 200도 내지 섭씨 약 500도이다.
[0024] 어닐링 챔버 내의 압력은, 고압 어닐링을 수행하기 위해, 미리 결정된 압력까지 상승된다. 미리 결정된 압력은 약 1 bar 내지 약 90 bar, 이를테면 약 1 bar 내지 약 80 bar, 또는 약 1 bar 내지 약 70 bar이다. 증가된 압력은 어닐링 챔버의 환경 내의 산화제(220)가 컨포멀 층(214)의 심들 내로 하방으로 이동하도록 강제한다. 기판(210)은 원하는 소킹(soak) 시간 동안 고압 어닐링으로 처리된다. 소킹 시간은 일반적으로, 약 3분 내지 약 150분, 이를테면 약 5분 내지 약 120분이다.
[0025] 고압 어닐링 동안, 산화제(220)는 높은 압력에 의해 심들(216) 내로 운반된다. 도 2c에서, 기판 피처들(212) 내에 증착된 컨포멀 층(214)은 산화제(220)에 노출된다. 화살표들은 심들(216) 내로의 산화제(220)의 유동을 예시한다. 어닐링 프로세스 동안, 컨포멀 층(214)은 산화제(220)의 노출에 대한 결과로서 산화된다. 산화의 결과로서, 컨포멀 층(214)은 부피가 팽창된다. 즉, 컨포멀 층(214)의 부피는, 이를테면, 가상으로 도시된 팽창된 부피(218)까지 증가된다. 도 2c에 도시된 팽창된 부피(218)는 고압 어닐링 동안 부피가 팽창된 컨포멀 층(214)을 표현한다.
[0026] 고압 어닐링의 산화 프로세스의 결과로서, 도 2d에 도시된 바와 같이, 컨포멀 층(214)은 심들(216)이 실질적으로 없는 고밀화된 산화물로 변환된다. 고압 어닐링 동안 컨포멀 층(214)이 팽창됨에 따라, 접촉하지 않는 컨포멀 층(214)의 부분들, 이를테면, 심(216)을 가로질러 대향하는 컨포멀 층(214)의 부분들(240, 250)은 그 부분들이 서로 상호작용할 때까지 팽창된다. 심 경계에서의 산화는, 산화제(220)의 원자들이 각각의 부분(240, 250)과 반응 및/또는 가교 결합하도록, 부분들(240, 250) 사이의 반응을 가능하게 한다. 심(216)을 가로지르는 부분들(240, 250)의 반응 및/또는 가교 결합은 일체화된(unified) 구조를 형성하고 심을 제거한다. 컨포멀 층(214)의 구조가 결정질인 예들에서, 산화제(220)는 부분들(240, 250)의 결정 구조들을 접합하여 심(216)을 제거한다.
[0027] 컨포멀 층(214) 내의 심들을 힐링하는 것에 부가하여, 동작(208)의 고압 어닐링은 부가적인 산화물의 포함을 통해 컨포멀 층(214)을 고밀화하여, 컨포멀 층(214)의 전기 절연 특성들을 더 개선한다.
[0028] 도 1은 기판(210)의 처리의 일 실시예를 예시한다. 그러나, 부가적인 실시예들이 또한 고려된다. 다른 양상에서, 동작들(104 및 108)은, 동작(106) 없이, 동일한 챔버에서 발생할 수 있다. 다른 양상에서, 동작(104)은 컨포멀 층(214)을 형성하기 위해 다수의 증착 단계들 또는 사이클들을 포함할 수 있다.
[0029] 부가적으로, 컨포멀 층(214)이 실리콘 이산화물 이외의 층, 이를테면, 실리콘, 게르마늄, 금속 층, 금속 산화물 층, 또는 이들의 조합들일 수 있는 것으로 고려된다. 그러한 실시예에서, 컨포멀 층(214)을 형성하는 데 사용되는 금속들은, 특히, 알루미늄, 티타늄, 바나듐, 크롬, 망간, 철, 코발트, 니켈, 구리, 이트륨, 지르코늄, 하프늄, 몰리브덴, 및 이들의 합금들을 포함한다. 금속들은 또한, 서로 조합되어 사용될 수 있다.
[0030] 다른 실시예들에서, CVD 이외의 증착 프로세스들이 기판 피처들(212)에 재료를 증착하기 위해 사용될 수 있는 것으로 고려된다. 예컨대, ALD(atomic layer deposition), 플라즈마-강화 ALD, 플라즈마-강화 CVD, PVD(physical vapor deposition), 도금, 또는 다른 증착 방법들이 기판 피처들(212)에 증착될 다양한 재료들에 따라 활용될 수 있다.
[0031] 더욱이, 도 2a 내지 도 2d는 트렌치들이 상부에 있는 기판(210)을 예시하고 있지만, 트렌치들 이외의 기판 피처들(212)이 본 개시내용의 양상들로부터 이익을 얻을 수 있는 것으로 고려된다.
[0032] 도 3은 본원에서 설명되는 방법들과 함께 실행될 수 있는 예시적인 프로세싱 챔버의 개략적인 단면도이다. 배치(batch) 프로세싱 챔버(300)는 복수의 기판들(335)을 프로세싱하기 위해 내부 볼륨(350)에 배치된 카세트(330)를 갖는다. 배치 프로세싱 챔버(300)는 내부 볼륨(350)을 에워싸는 챔버 바디(310), 및 챔버 바디(310) 상에 배치된 덮개(311)를 갖는다. 하나 이상의 카트리지 가열기들(312)이 챔버 바디(310) 내에 배치된다. 가열기들(312), 이를테면 저항성 가열기들은 챔버 바디(310)를 가열하도록 구성된다. 가열기들(312)로의 전력은 제어기(380)에 의해 제어된다. 셸(shell)(320)이 내부 볼륨(350) 내에 배치된다. 셸(320)을 둘러싸는 절연성 라이너(316)가 내부 볼륨(350)에 배치된다. 절연성 라이너(316)는 챔버 바디(310)와 셸(320) 사이의 열 전달을 방지한다.
[0033] 카세트(330)는 샤프트(374)에 커플링되며, 샤프트(374)는 챔버 바디(310) 내의 개구(354)를 통해 연장된다. 카세트(330)는 샤프트(374)에 커플링된 액추에이터(370)에 의해 내부 볼륨(350) 내에서 이동가능하게 배치된다. 카세트(330)는 로딩 포지션과 프로세싱 포지션 사이의 기판들(335)의 이송을 가능하게 한다. 기판들(335)은 챔버 바디(310)에 형성된 로딩 포트(395)를 통해 내부 볼륨(350) 내로 그리고 내부 볼륨(350) 밖으로 이송된다. 셸(320)은 카세트(330)가 프로세싱 포지션에 있을 때 카세트(330)의 덮개(340)에 커플링되고, 프로세싱 구역을 정의하며, 그 프로세싱 구역에서, 기판들(335)은 상승된 압력 및 상승된 온도로 어닐링된다.
[0034] 프로세싱 동안, 프로세싱 유체, 이를테면 산화제(220)가 유입구 포트(352)를 통해 프로세싱 구역 내로 유동된다. 유입구 포트(352)는 카세트(330) 내의 복수의 애퍼처(aperture)들(333)을 통해 기판들(335)과 유체 연통한다. 프로세싱 구역 내에 배치된 보조 가열기들(327)은 프로세싱 볼륨 및 그 프로세싱 볼륨 내의 기판들(335)을 가열하도록 구성된다. 프로세싱 구역 내의 압력 및 온도는 프로세싱 구역에서 기판들(335)을 어닐링하기 위해 상승된다. 프로세싱 유체는 유출구 포트(356)를 통해 프로세싱 구역으로부터 진공배기(evacuate)된다.
[0035] 제어기(380)는 복수의 센서들(314), 이를테면 온도 센서들 또는 압력 센서들에 커플링된다. 센서들(314)은 내부 볼륨(350) 내의 조건들을 표시하기 위해 제어기(380)에 신호들을 제공한다. 제어기(380)는, 원하는 방식으로 기판들(335)을 프로세싱하기 위해, 가열기들(312) 및 보조 가열기들(327)에 공급되는 전력 뿐만 아니라 프로세싱 유체의 유동을 제어한다. 그러한 방식으로, 제어기(380)는 본원에서 개시되는 바와 같은 동작들을 수행하기 위해 프로세싱 챔버(300)의 양상들을 제어하도록 구성된다.
[0036] 본원에서 개시되는 동작들의 일 예에서, 하나 이상의 기판 피처들(212)을 포함하는 기판(210)이 프로세스 챔버에 포지셔닝된다. 기판(210)의 기판 피처들(212) 상에 컨포멀 층(214)이 증착된다. 기판(210)은 어닐링 챔버로 이송된다. 고압 어닐링이 기판(210) 상에 수행되고, 컨포멀 층들(214)의 부피가 팽창되어, 인접한 컨포멀 층들이 서로 접촉 및/또는 화학적으로 반응한다.
[0037] 본원에서 설명되는 방법은 심들 또는 공극들이 실질적으로 없는 고 품질 산화물 층을 생성한다. 층은 층의 전체에 걸쳐 실질적으로 균일한 방식으로 산화되고, 이에 의해, 층 전체에 걸친 산소의 분포의 균일성이 증가된다. 추가로, 본원에서 설명되는 방법들에 의해 산화물 층의 고밀화의 균일성이 증가된다. 균일한 고밀화는 또한, 에칭 선택성을 개선한다.
[0038] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 프로세싱하는 방법으로서,
    프로세스 챔버에 상기 기판을 포지셔닝하는 단계 ― 상기 기판은 복수의 기판 피처(feature)들을 가짐 ―;
    이웃하는 기판 피처들 사이에 심(seam)이 형성되도록, 상기 기판 피처들 상에 컨포멀(conformal) 층을 증착하는 단계; 및
    상기 컨포멀 층 내의 심들의 부피가 감소되도록, 산화제의 존재 시에 1 bar 내지 70 bar의 압력으로 수행되는 고압 어닐링으로 상기 기판을 처리하는 단계
    를 포함하고,
    상기 기판을 처리하는 단계는 기판 피처 상에 배치된 컨포멀 층으로 하여금 이웃하는 기판 피처 상에 배치된 컨포멀 층과 화학적으로 반응하게 하는,
    기판을 프로세싱하는 방법.
  2. 제1 항에 있어서,
    상기 산화제는 스팀(steam)을 포함하는,
    기판을 프로세싱하는 방법.
  3. 제1 항에 있어서,
    상기 컨포멀 층은 실리콘 층, 금속 층, 금속 산화물 층, 또는 이들의 조합들을 포함하는,
    기판을 프로세싱하는 방법.
  4. 제1 항에 있어서,
    상기 복수의 기판 피처들은 트렌치(trench)를 포함하는,
    기판을 프로세싱하는 방법.
  5. 제1 항에 있어서,
    상기 복수의 기판 피처들 상에 컨포멀 층을 증착하는 단계는 화학 기상 증착을 포함하는,
    기판을 프로세싱하는 방법.
  6. 제5 항에 있어서,
    상기 컨포멀 층을 증착하는 단계는 상기 프로세스 챔버 내로 전구체를 유동시키는 단계를 포함하며,
    상기 전구체는 실리콘 및 수소를 포함하는,
    기판을 프로세싱하는 방법.
  7. 제1 항에 있어서,
    상기 컨포멀 층의 부피는 상기 고압 어닐링 동안 팽창되는,
    기판을 프로세싱하는 방법.
  8. 기판을 프로세싱하는 방법으로서,
    프로세스 챔버에 상기 기판을 포지셔닝하는 단계 ― 상기 기판은 복수의 기판 피처들을 가짐 ―;
    이웃하는 기판 피처들 사이에 심이 형성되도록, 상기 기판 피처들 상에 컨포멀 층을 증착하는 단계 ― 상기 컨포멀 층은 구조가 결정질임 ―; 및
    상기 컨포멀 층 내의 심들의 부피가 감소되도록, 산화제의 존재 시에 고압 어닐링으로 상기 기판을 처리하는 단계
    를 포함하고,
    상기 고압 어닐링은 1 bar 내지 70 bar의 압력으로 수행되고,
    상기 기판을 처리하는 단계는 기판 피처 상에 배치된 컨포멀 층으로 하여금 이웃하는 기판 피처 상에 배치된 컨포멀 층과 화학적으로 반응하게 하는,
    기판을 프로세싱하는 방법.
  9. 삭제
  10. 제8 항에 있어서,
    상기 고압 어닐링은 5분 내지 120분의 시간 동안 수행되며,
    기판을 프로세싱하는 방법.
  11. 제8 항에 있어서,
    상기 컨포멀 층은 실리콘 층, 금속 층, 금속 산화물 층, 또는 이들의 조합들을 포함하는,
    기판을 프로세싱하는 방법.
  12. 제8 항에 있어서,
    상기 복수의 기판 피처들은 트렌치를 포함하는,
    기판을 프로세싱하는 방법.
  13. 제8 항에 있어서,
    상기 복수의 기판 피처들 상에 컨포멀 층을 증착하는 단계는 화학 기상 증착을 포함하는,
    기판을 프로세싱하는 방법.
  14. 제13 항에 있어서,
    상기 컨포멀 층을 증착하는 단계는 상기 프로세스 챔버 내로 전구체를 유동시키는 단계를 포함하며,
    상기 전구체는 실리콘 및 수소를 포함하는,
    기판을 프로세싱하는 방법.
  15. 기판을 프로세싱하는 방법으로서,
    프로세스 챔버에 상기 기판을 포지셔닝하는 단계 ― 상기 기판은 복수의 기판 피처들을 가짐 ―;
    이웃하는 기판 피처들 사이에 심이 형성되도록, 상기 기판 피처들 상에 컨포멀 층을 증착하는 단계 ― 상기 컨포멀 층은 구조가 결정질임 ―; 및
    상기 컨포멀 층 내의 심들의 부피가 감소되도록, 산화제의 존재 시에 1 bar 내지 70 bar의 압력으로 수행되는 고압 어닐링으로 상기 기판을 처리하는 단계
    를 포함하며,
    상기 컨포멀 층의 부피는 상기 고압 어닐링 동안 팽창되고,
    상기 기판을 처리하는 단계는 기판 피처 상에 배치된 컨포멀 층으로 하여금 이웃하는 기판 피처 상에 배치된 컨포멀 층과 화학적으로 반응하게 하는,
    기판을 프로세싱하는 방법.
KR1020207024061A 2018-01-24 2019-01-03 고압 어닐링을 사용한 심 힐링 KR102649241B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862621423P 2018-01-24 2018-01-24
US62/621,423 2018-01-24
PCT/US2019/012161 WO2019147400A1 (en) 2018-01-24 2019-01-03 Seam healing using high pressure anneal

Publications (2)

Publication Number Publication Date
KR20200103850A KR20200103850A (ko) 2020-09-02
KR102649241B1 true KR102649241B1 (ko) 2024-03-18

Family

ID=67300123

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207024061A KR102649241B1 (ko) 2018-01-24 2019-01-03 고압 어닐링을 사용한 심 힐링

Country Status (6)

Country Link
US (1) US10636669B2 (ko)
JP (1) JP7299898B2 (ko)
KR (1) KR102649241B1 (ko)
CN (1) CN111699549A (ko)
SG (1) SG11202006867QA (ko)
WO (1) WO2019147400A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2023140541A1 (ko) * 2022-01-24 2023-07-27 주식회사 에이치피에스피 반도체 공정의 절연막 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005303276A (ja) * 2004-03-16 2005-10-27 Ishikawajima Harima Heavy Ind Co Ltd 半導体装置の製造方法

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5175123A (en) * 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
JP2998996B2 (ja) * 1990-12-05 2000-01-17 宮崎沖電気株式会社 半導体素子の製造方法
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH0567607A (ja) * 1991-03-20 1993-03-19 Mitsubishi Electric Corp 半導体装置の絶縁膜の平坦化方法
JPH05129296A (ja) * 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
JP3158259B2 (ja) * 1992-01-29 2001-04-23 株式会社東芝 成膜方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6136664A (en) * 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
JP3225268B2 (ja) * 1997-09-18 2001-11-05 東京農工大学長 シリコン酸化物の改質方法
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) * 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) * 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5211645B2 (ja) 2007-11-01 2013-06-12 大日本印刷株式会社 薄膜トランジスタ基板及びその製造方法
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP6048400B2 (ja) 2011-03-30 2016-12-21 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
KR101568748B1 (ko) 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) * 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
CN107406978B (zh) 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20190137967A (ko) 2017-06-02 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 증착된 막들의 품질 개선
US11114333B2 (en) * 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005303276A (ja) * 2004-03-16 2005-10-27 Ishikawajima Harima Heavy Ind Co Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
US10636669B2 (en) 2020-04-28
SG11202006867QA (en) 2020-08-28
US20190228982A1 (en) 2019-07-25
JP7299898B2 (ja) 2023-06-28
WO2019147400A1 (en) 2019-08-01
KR20200103850A (ko) 2020-09-02
CN111699549A (zh) 2020-09-22
JP2021511671A (ja) 2021-05-06

Similar Documents

Publication Publication Date Title
KR102649241B1 (ko) 고압 어닐링을 사용한 심 힐링
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
US10096516B1 (en) Method of forming a barrier layer for through via applications
JP2020136677A (ja) 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR100956705B1 (ko) 플라즈마 산화 처리 방법 및 반도체 장치의 제조 방법
WO2019147462A1 (en) Treatment methods for silicon nitride thin films
JP2019110320A (ja) シームレスのコバルト間隙充填を可能にする方法
JP2022551922A (ja) 間隙充填堆積プロセス
JP2017069313A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
CN110476222B (zh) 用于硅间隙填充的两步工艺
WO2015023404A1 (en) Tungsten deposition with tungsten hexafluoride (wf6) etchback
JP2010062576A (ja) 電子デバイス材料の製造方法
JP2012216631A (ja) プラズマ窒化処理方法
KR102307270B1 (ko) 매립 방법 및 처리 시스템
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US20200098627A1 (en) Embedding method and processing system
KR20220111258A (ko) 산소 라디칼 보조 유전체 막 고밀화
TW201303999A (zh) 電漿處理方法及元件分離方法
TW202138292A (zh) 具有可調整碳含量之碳氮化矽間隙填充
JP2008192739A (ja) 半導体装置の製造方法および製造装置、ならびに記憶媒体
WO2022020195A1 (en) Multi-layer deposition and treatment of silicon nitride films
TWI773839B (zh) 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
JP3578155B2 (ja) 被処理体の酸化方法
KR102184690B1 (ko) 오목부의 매립 방법 및 처리 장치
WO2003069661A1 (fr) Procede de fabrication de semiconducteur et appareil de fabrication de semiconducteur

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant