KR102394249B1 - 코발트에 대한 망간 배리어 층 및 접착 층 - Google Patents

코발트에 대한 망간 배리어 층 및 접착 층 Download PDF

Info

Publication number
KR102394249B1
KR102394249B1 KR1020170058543A KR20170058543A KR102394249B1 KR 102394249 B1 KR102394249 B1 KR 102394249B1 KR 1020170058543 A KR1020170058543 A KR 1020170058543A KR 20170058543 A KR20170058543 A KR 20170058543A KR 102394249 B1 KR102394249 B1 KR 102394249B1
Authority
KR
South Korea
Prior art keywords
feature
substrate
cobalt
manganese
layer
Prior art date
Application number
KR1020170058543A
Other languages
English (en)
Other versions
KR20170128123A (ko
Inventor
치우킨 스티븐 라이
나정석
라아쉬나 후마윤
미칼 다넥
카이한 아비디 아쉬티아니
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170128123A publication Critical patent/KR20170128123A/ko
Application granted granted Critical
Publication of KR102394249B1 publication Critical patent/KR102394249B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01025Manganese [Mn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

도전성 코발트 (Co) 상호접속부들 및 Co 피처들을 형성하는 방법들이 본 명세서에 제공된다. 방법들은 유전체 상의 박형 망간 (Mn)-함유 막의 증착 이어서 Mn-함유 막 상의 코발트의 후속 증착을 수반한다. Mn-함유 막은 실리콘 다이옥사이드와 같은 실리콘-함유 유전체 상에 증착될 수도 있고, 망간 실리케이트를 형성하도록 어닐링될 수도 있다.

Description

코발트에 대한 망간 배리어 층 및 접착 층{MANGANESE BARRIER AND ADHESION LAYERS FOR COBALT}
반도체 디바이스 제조는 종종 FEOL (front end of line), MOL (middle of line) 및 BEOL (back end of line) 애플리케이션들 예컨대 소스 및 드레인 콘택트들 및 로직 상호연결부들에 도전성 재료들의 증착을 수반한다. 예를 들어, 텅스텐-함유 재료들은 수평 상호연결부들, 인접한 금속 층들 간의 비아들, 및 제 1 금속 층들과 실리콘 기판 상의 디바이스들 간의 콘택트들에 사용될 수도 있다. 구리는 또 다른 일반적으로 사용된 도전성 재료이다. 그러나, 디바이스들이 축소됨에 따라, 피처들이 보다 좁아지고 종횡비들이 증가하고, 이들 도전성 재료들을 채용하는데 문제들을 발생시킨다.
예를 들어, 구리 상호연결부들은 7 ㎚를 넘는 기술 노드를 제조하는데 문제가 된다. 구리 상호연결부들의 증착은 종종 먼저 배리어 층을 증착하는 것을 수반한다. 무결성을 유지하는 구리 배리어 재료는 두께가 2.5 ㎚ 이하로 스케일링될 때 식별되지 않았다. (5 ㎚ 기술 노드에서) 라인폭이 10 ㎚로 스케일링 될 때, 배리어는 라인폭의 5 ㎚ 및 50 %보다 큰 라인 단면을 소비할 것이고, 10 ㎚를 넘는 기술 노드 각각으로 저항을 지수적으로 상승시킨다. 그 결과, 대안적인 재료들은 피처들을 충진하도록 탐구되었다.
구리 및 텅스텐에 대한 일 대안은 코발트이다. 그러나, 코발트 상호연결부들과 같은 애플리케이션들에 다양한 프로세스 통합 문제들이 있다.
본 개시의 일 양태는 (a) 피처 개구부를 포함하는 피처를 갖는 기판을 제공하는 단계; (b) 피처 내에 망간-함유 라이너 층을 형성하는 단계; 및 (c) 단계 (b) 후에, 코발트로 피처를 적어도 부분적으로 충진하도록 기판을 코발트-함유 전구체에 노출하는 단계를 포함하는, 방법에 관한 것이다. 일부 실시예들에서, 단계 (c) 는 코발트로 피처를 완전히 충진하는 단계를 포함한다.
일부 실시예들에서, 방법은 코발트를 어닐링하도록 적어도 400 ℃의 온도로 기판을 가열하는 단계를 더 포함한다. 일부 이러한 실시예들에서, 기판의 가열하는 단계 동안 코발트와 적어도 일부 망간을 반응시키는 단계를 더 포함한다.
일부 실시예들에서, 단계 (b) 는 피처 내 원소 망간 막의 ALD (atomic layer deposition) 또는 CVD (chemical vapor deposition) 를 포함한다. 일부 이러한 실시예들에서, 단계 (b) 는 망간 실리케이트 층을 형성하기 위해 원소 망간 막으로 하여금 실리콘-함유 하부층과 반응하게 하도록 적어도 350 ℃로 기판을 가열하는 단계를 더 포함한다. 일부 이러한 실시예들에서, 원소 망간 막의 노출된 부분은 망간 실리케이트로 변환되지 않는다.
일부 실시예들에서, 단계 (b) 는 피처 내 망간 나이트라이드 막의 ALD 또는 CVD를 포함한다. 일부 이러한 실시예들에서, 망간 실리케이트 층을 형성하기 위해 망간으로 하여금 실리콘-함유 하부층과 반응하고 기판으로부터 질소를 탈착하게 하도록 적어도 350 ℃로 기판을 가열하는 단계를 더 포함한다.
일부 실시예들에서, 방법은 단계 (b) 후 및 단계 (c) 전에, 차동 억제 프로파일 (differential inhibition profile) 을 형성하도록 질소 종에 기판을 노출하는 단계를 더 포함한다. 일부 이러한 실시예들에서, 방법은 차동 억제 프로파일에 따라 하나 이상의 피처들 내에 코발트를 우선적으로 증착하는 단계를 더 포함한다.
일부 실시예들에서, 단계 (c) 는 후속 Co 도금 프로세스를 위한 코발트 씨드 층을 증착하는 단계를 포함한다.
본 개시의 또 다른 양태는 (a) 피처 개구부를 포함하는 피처 및 유전체 실리콘-함유 측벽을 갖는 기판을 제공하는 단계; (b) 피처 내에 원소 망간 층을 형성하는 단계로서, 원소 망간 층은 피처에 컨포멀한 (conformal), 원소 망간 층을 형성하는 단계; (c) 단계 (b) 후에, 원소 망간 층의 노출된 부분으로 하여금 변환되지 않고 남아 있게 하는, 원소 망간 층의 일부를 망간 실리케이트 층으로 변환하는 단계; (d) 피처를 코발트로 충진하는 단계; 및 (e) 코발트와 망간 실리케이트 층 간의 계면에서 코발트-망간 합금을 형성하는 단계를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 논의된다.
도 1은 개시된 실시예들에 따른 방법들을 수행하기 위한 동작들의 프로세스 흐름도이다.
도 2a 내지 도 2c는 도 1을 참조하여 기술된 방법들의 예의 특정한 동작들을 예시하는 개략적인 단면 도면들이다.
도 3 내지 도 5는, 도 3은 어닐링을 사용하고, 도 4는 어닐링을 사용하지 않고, 도 5는 탈가스 (degas), H2 플라즈마, 또는 어닐링을 사용하지 않은, SiO2 상의 Mn/Co 금속 스택의 XPS 프로파일링을 도시한다.
도 6은 Co의 어닐링 전 Mn-함유 층 상의 Co의 이미지이다.
도 7은 Co의 어닐링 후 Mn-함유 층 상의 Co의 이미지이다.
도 8a는 본 명세서에 기술된 특정한 방법들을 구현하기 위해 구성된 다양한 반응기 컴포넌트들을 도시하는 단순한 블록도를 제공한다.
도 8b는 특정한 실시예들에 따른 반도체 기판을 프로세싱하기 위한 장치의 개략도를 예시한다.
도 9는 특정한 실시예들에 따라 사용될 수도 있는 멀티-스테이션 프로세싱 툴 의 실시예의 개략도를 도시한다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
반도체 제조시, 피처들은 도전성 재료들로 충진될 수도 있다. 예를 들어, 텅스텐은 종종 FEOL과 같은 애플리케이션들에서 콘택트들을 형성하도록 피처들 내에 충진된다. 그러나, 디바이스들이 축소됨에 따라, 종횡비들이 증가하고 보다 작은 피처들이 콘택트들을 형성하도록 사용된다. 많은 애플리케이션들에서, 대안적인 도전성 재료, 예컨대 코발트는 콘택트들을 형성하거나 그렇지 않으면 피처들을 충진하도록 사용될 수도 있다.
반도체 제조시 종래의 코발트 증착은 습식 증착 프로세스들, 예컨대 전기도금 및 무전해 도금을 포함한다. 코발트 전기도금에서, 금속이 충분히 도전성이도록 금속의 일부 두께가 먼저 피처 내에 증착되고, 이는 전기도금 또는 무전해 도금 프로세스에서 피처 내 금속이 성장하게 하도록 전류를 허용한다. 이러한 씨드 층들은 특정한 최대 저항을 가질 수도 있다. 전기도금과 같은 습식-기반 코발트 피처 충진 프로세스들은 통상적으로 씨드 층의 증착과 상이한 툴에서 피처 충진을 수반하고, 프로세스의 복잡도 및 제작 비용을 증가시킨다.
본 명세서에 기술된 주제의 일 양태는 도전성 코발트 (Co) 상호연결부들 및 다른 피처들을 형성하는 방법들에 관한 것이다. 방법들은 유전체 상에 박형 망간 (Mn)-함유 막의 증착 이어서 Mn-함유 막 상에 코발트의 후속 증착을 수반한다. 도 1은 개시된 실시예들에 따른 방법들을 수행하기 위한 동작들의 프로세스 흐름도이다. 도 2a 내지 도 2c는 도 1을 참조하여 기술된 방법들의 예의 특정한 동작들을 예시하는 개략적인 단면도들이다. 동작 102에서, 충진될 피처를 갖는 기판이 제공된다. 기판은 실리콘 기판 또는 또 다른 적합한 반도체 기판일 수도 있다. 피처는 반도체 층, 절연체 층 또는 도전성 층 내에 있을 수도 있다. 기판은 2 이상의 피처를 포함할 수도 있고, 다양한 사이즈들의 피처들을 갖는 피처들의 패턴 또는 일 사이즈의 패턴들을 포함할 수도 있다. 이 기술 목적들을 위해, 도 1은 단일 피처를 충진하는 맥락에서 논의되었지만, 다양한 사이즈들의 피처들이 또한 유사하게 충진될 수도 있다는 것이 이해되어야 한다.
도 2a는 기판 (202) 내 이러한 피처 (200) 의 예의 개략적인 예시이다. 기판은 실리콘 웨이퍼일 수도 있고, 예를 들어, 웨이퍼 상에 증착된 유전체 재료, 도전성 재료 또는 반도전성 재료와 같은 하나 이상의 재료 층들을 갖는 웨이퍼들을 포함하는, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 피처들은 하나 이상의 좁은 그리고/또는 재차 들어간 개구부들을, 피처 내 협착부들 (constrictions), 및 고 종횡비들을 특징으로 할 수 있다. 일부 실시예들에서, 피처 (200) 는 적어도 약 2:1, 적어도 약 10:1, 적어도 약 15:1, 적어도 약 20:1 이상의 종횡비를 가질 수도 있다. 피처 홀 (205) 은 충진될 개방된 공간을 나타내고 약 19 ㎚ 미만의 개구부 근방 치수, 예를 들어, 개구부 직경, 라인폭, 또는 개구부 폭, 또는 임계 치수를 가질 수도 있고, 또한 1x ㎚ 미만의 피처 폭들로 공지된다. 피처 (200) 는 충진되지 않은 피처 또는 단순히 피처로서 지칭될 수 있다. 피처, 및 모든 피처는 수직 축들을 갖는 수직으로-배향된 피처들 및 수평 축들을 갖는 수평으로-배향된 피처들과 함께, 피처의 길이를 통해 연장하는 축에 의해 부분적으로 특징화될 수도 있다. 피처는 실리콘, 금속, 또는 다른 재료 및 유전체 측벽들인 하단부에 의해 특징화될 수도 있다.
도시된 바와 같이, 기판 (202) 은 피처의 하단부의 폭보다 좁은 피처 개구부 (210) 를 갖는 피처 (200) 를 포함한다. 따라서, 도 2a의 피처 (200) 는 재차들어간 프로파일을 포함한다. 재차들어간 프로파일은 피처의 하단, 폐쇄된 단부, 또는 내부로부터 피처 개구부로 좁아지는 프로파일이다. 다양한 실시예들에 따라, 프로파일은 점진적으로 좁을 수도 있고 그리고/또는 피처 개구부에서 오버행 (overhang) 을 포함할 수도 있다. 도 2a에 도시된 재차들어간 패턴은 패터닝 동안 비대칭 에칭 동역학 (kinetics) 및/또는 이전의 막 증착에서 컨포멀하지 않은 막 단차 커버리지로 인한 오버행에 의해 생성될 수도 있다. 다양한 예들에서, 피처는 피처의 하단부의 폭보다 피처의 상단부에서 개구부에서 보다 좁은 폭을 가질 수도 있다.
도 1을 다시 참조하면, 동작 104에서, Mn-함유 막이 피처 내에 형성된다. 다양한 실시예들에 따라, Mn-함유 막은 피처에 컨폼하는 (conform) 박층일 수도 있다. 도 2b는 피처 (200) 내로 컨포멀하게 증착된 Mn-함유 층 (204) 의 개략적인 예시이다. Mn 증착은 H2 또는 NH3와 같은 환원제와 Mn 금속-유기 전구체를 반응시킴으로써 ALD 또는 CVD 프로세스에 의해 수행될 수 있다. Mn 전구체들의 예들은 망간(II) 비스(N,N'-디-tert-부틸아세트아미디네이트) (manganese(II) bis(N,N'-di-tert-butylacetamidinate)), 비스[1-(tert-부틸아미드)-2-디메틸아미노에탄-N,N']망간(II) (bis[1-(tert-butylamide)-2-dimethylaminoethane-N,N']manganese(II)), 및 망간(II) 비스(N,N'-디이소프로필펜트아미디네이트) (manganese(II) bis(N,N'-diisopropylpentamidinate)) 를 포함한다.
CVD 방법에서, 기판은 기판 상에 Mn 층을 형성하도록 적합한 Mn-함유 전구체 및 환원제에 노출된다. ALD 방법에서, 기판은, 기판이 먼저 적합한 Mn-함유 전구체의 펄스에 노출되고, 이어서 전구체가 퍼지되고, 이어서 기판이 환원제의 펄스에 노출되고, 이어서 환원제가 퍼지되는 사이클들에 노출될 수도 있고, 그리고 목표된 두께의 Mn이 기판 상에 형성될 때까지 이러한 사이클들이 반복될 수도 있다. ALD 방법은 컨포멀한 증착을 용이하게 하도록 고 종횡비 및/또는 좁은 피처들에 사용될 수도 있다. 일부 실시예들에서, 증착 온도는 250 ℃ 이하일 수도 있다.
일부 실시예들에서, 원소 Mn의 ALD 또는 CVD 증착 후, 망간 실리케이트 그리고 일부 경우들에서, 망간 옥사이드 층을 형성하도록 어닐링 처리가 수행된다. 예를 들어, 약 350 ℃ 내지 500 ℃의 어닐링 처리가 수행될 수도 있다. 이 Mn 어닐링은 Mn 층의 증착 후 또는 나중의 Co 어닐링 동안 수행될 수도 있다. 일부 실시예들에서, 증착 온도는 망간 실리케이트가 증착 동안 형성되고, 부가적인 어닐링이 수행되지 않도록 충분히 높을 수도 있다.
Mn-함유 막은 유전체 (예를 들어, SiO2) 측벽들을 갖는 피처 내에 형성될 수도 있다. 일부 실시예들에서, MnOx 및/또는 MnSiyOz (x, y, 및 z는 형성될 수 있는 0보다 큰 임의의 정수 또는 정수가 아닌 수임) 는 SiO2의 Mn 환원에 의해 SiO2 표면의 상단부 상에 형성될 것이다. Mn-함유 막은 20 Å 미만, 일부 실시예들에서, 그리고 10 Å 미만의 두께로 증착될 수도 있다. 이하에 논의된 바와 같이, 독특한 특성 때문에, Mn의 박층도 Co에 대해 우수한 접착 및 배리어 속성들을 제공할 수 있다. Mn-함유 막은 원소 Mn뿐만 아니라 2원자 또는 3원자 화합물들 예컨대 MnOx 및 MnSiyOz을 포함할 수도 있다. MnOx는 망간 옥사이드 또는 망간 옥사이드들의 혼합물을 나타내도록 사용된다. Mn(II)은 MnO를 형성하고; Mn(III)는 MnO1 .5로 표현될 수도 있는 Mn2O3를 형성하고, Mn(IV)는 MnO3를 형성하는, 등 한다. 망간 옥사이드 층은 망간 옥사이드 또는 복수의 옥사이드들을 포함하는 층을 지칭한다. MnSiyOz는 망간 실리케이트 또는 망간 실리케이트들의 혼합물을 나타내도록 사용된다. Mn(II)는 MnSiO3를 형성한다. 망간 실리케이트 층은 망간 실리케이트 또는 복수의 망간 실리케이트들의 혼합물을 포함하는 층을 지칭한다.
일부 실시예들에서, 증착 및/또는 후속 어닐링은 소량 (예를 들어, 2 내지 3 모노레이어들) 의 변환되지 않은 순수 Mn이 MnSixOy의 상단부에 남아 있도록 제어된다. 이 변환되지 않은 Mn은 Co와 합급될 수 있고 접착 층으로서 작용할 수 있다.
동작 105에서, Mn-함유 막은 선택가능하게 질소로 처리된다. 이 동작은 이하에 더 논의되고, 피처의 상단부에서 Co 핵생성을 억제하기 위해 이루어질 수도 있다. 이와 같이, 처리는 피처의 상단부에 우선적으로 적용될 수도 있다.
동작 106에서, 피처는 PVD, ALD, CVD, 또는 도금 프로세스들 중 하나 이상에 의해 Co로 충진된다. 예를 들어, 일부 실시예들에서, Co의 박형 씨드 층은 ALD에 의해 증착될 수도 있다. 이어서 씨드 층이 CVD에 의해 벌크 Co로 충진될 수도 있다. 대안적으로, Co는 Co 씨드 층 상에 도금될 수도 있다. 도 2c는 Co (206) 로 충진되는 Mn 라이너 층 (204) 을 포함하는 피처의 예를 도시한다.
CVD 방법에서, 기판은 기판 상에 코발트 층을 형성하도록 적합한 코발트-함유 전구체 및 환원제에 노출된다. 일부 실시예들에서, 온도는 약 70 ℃ 내지 약 400 ℃, 또는 약 80 ℃ 내지 약 200 ℃일 수도 있다. 일부 실시예들에서, 온도는 약 70 ℃ 내지 약 200 ℃, 또는 약 100 ℃ 내지 약 120 ℃일 수도 있다. 챔버 압력은 약 0.1 Torr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 30 Torr일 수도 있다. 일부 실시예들에서, 챔버 압력은 약 0.5 Torr 내지 약 10 Torr, 또는 약 1 Torr 내지 약 3 Torr일 수도 있다. 다양한 실시예들에서, 적합한 코발트-함유 전구체 및/또는 환원제는 캐리어 가스, 예컨대 아르곤 (Ar), 질소 (N2), 또는 일산화탄소 (CO) 를 사용하여 챔버 내로 도입된다. 일부 실시예들에서, 코발트-함유 전구체는 캐리어 가스로서 아르곤을 사용하여 챔버에 도입된다. 캐리어 가스의 플로우 레이트는 약 10 sccm 내지 약 300 sccm, 또는 약 10 sccm 내지 약 50 sccm일 수도 있다. 일부 실시예들에서, 캐리어 가스의 플로우 레이트는 약 10 sccm 내지 약 100 sccm, 또는 약 10 sccm 내지 약 30 sccm일 수도 있다. 환원제는 선택된 코발트-함유 전구체를 환원하기 위해 임의의 적합한 반응물질일 수도 있다. 다양한 실시예들에서, 환원제는 수소 (H2) 이다. 환원제는 약 100 sccm 내지 약 5000 sccm, 또는 약 2000 sccm 내지 약 5000 sccm의 플로우 레이트로 도입될 수도 있다. 특정한 증착 챔버에 따라, 본 개시 전반에서 제공된 범위들 밖의 플로우 레이트들이 사용될 수도 있다.
ALD 방법에서, 기판은 기판이 먼저 적합한 코발트-함유 전구체의 펄스에 노출되고, 이어서 전구체가 퍼지되고, 이어서 기판이 환원제의 펄스에 노출되고, 이어서 환원제가 퍼지되는 사이클들에 노출될 수도 있고, 그리고 목표된 두께의 코발트가 기판 상에 형성될 때까지 이러한 사이클들이 반복될 수도 있다. ALD에 의한 증착 프로세스를 위해, 온도는 약 70 ℃ 내지 약 400 ℃, 또는 약 100 ℃ 내지 약 200 ℃일 수도 있다. 일부 실시예들에서, 온도는 약 70 ℃ 내지 약 200 ℃, 또는 약 100 ℃ 내지 약 120 ℃일 수도 있다. 압력은 약 1 Torr 내지 약 30 Torr, 또는 약 8 Torr 내지 약 15 Torr일 수도 있다. 다양한 실시예들에서, 적합한 코발트-함유 전구체 및/또는 환원제는 캐리어 가스, 예컨대 Ar, N2, 또는 CO를 사용하여 챔버 내로 도입된다. 일부 실시예들에서, 코발트-함유 전구체는 캐리어 가스로서 아르곤을 사용하여 챔버에 도입된다. 캐리어 가스의 플로우 레이트는 약 10 sccm 내지 약 300 sccm, 또는 약 10 sccm 내지 약 100 sccm일 수도 있다. 일부 실시예들에서, 캐리어 가스의 플로우 레이트는 약 50 sccm 내지 약 100 sccm일 수도 있다. 환원제는 선택된 코발트-함유 전구체를 환원하기 위해 임의의 적합한 반응물질일 수도 있다. 다양한 실시예들에서, 환원제는 H2이다. 환원제는 약 100 sccm 내지 약 5000 sccm, 또는 약 2000 sccm 내지 약 5000 sccm의 플로우 레이트로 도입될 수도 있다. 동작 106이 종료되는 시간은 피처의 사이즈에 따른다.
예시적인 코발트-함유 전구체들은 디카르보닐사이클로펜타디에닐 코발트 (I), 코발트 카르보닐, 다양한 코발트 아미디네이트 전구체들, 코발트 디아자디에닐 착체들, 코발트 아미디네이트/구아디네이트 전구체들, 및 이들의 조합들을 포함한다. 적합한 코발트-함유 전구체들은 유기기들 및/또는 카르보닐기들과 코발트 중심을 포함할 수도 있고, 유기기들은 알킬기들, 예컨대, 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 및 옥틸을 포함하고, 직쇄 또는 분기된 하이드로카본 체인들일 수도 있다. 일부 실시예들에서, 유기금속 화합물은 치환되거나 치환되지 않은 아릴 리간드를 갖는다. 일부 실시예들에서, 아릴 리간드는 치환되지 않았다.
일부 실시예들에서, 다음의 구조를 갖는 유기금속 코발트 화합물이 사용된다:
Figure 112017065620269-pat00001
여기서 R1은 C1-C8-알킬이고, R2는 C1-C8 알킬이고, x는 0, 1 또는 2이고; 그리고 y는 0 또는 1이다.
일부 실시예들에서, R1는 C2-C8-알킬이고, R2는 독립적으로 C2-C8 알킬이다.
본 명세서에 사용된 바와 같은 용어 "알킬"은 길이로 1 내지 8 개의 원자들의 포화된 하이드로카본 체인, 예컨대 메틸, 에틸, 프로필, 부틸, 펜틸, 헥실, 헵틸, 및 옥틸을 지칭한다. 용어 "알킬"은 직쇄 및 분기된 하이드로카본 체인들 양자를 포함한다. 따라서, 용어 프로필은 n-프로필 및 이소프로필 양자를 포함한다. 용어 부틸은 n-부틸, sec-부틸, iso-부틸, 및 tert-부틸을 포함한다.
일부 실시예들에서, x는 0이고 y는 1이다. 본 실시예에 따른 유기금속 화합물의 예는 이하에 도시된다:
Figure 112017065620269-pat00002
특정한 기술된 화합물들은 CA, Fremont 소재의 Lam Research Inc.로부터 입수가능한 대응하는 증착 장치들과 함께 MA, Haverhill 소재의 SAFC-Hitech로부터 입수가능하다.
일부 실시예들에서, 코발트-함유 전구체들은 약 100 ℃ 미만의 온도들과 같은, 저온에서 고 증기압을 갖는 금속-유기 전구체들을 포함한다. 예시적인 증기압은 약 30 ℃ 분위기에서 약 0.5 Torr일 수도 있다.
다양한 실시예들에 따라, Co 증착 프로세스는 본 명세서에 참조로서 인용된 미국 특허 공개번호 제 20160056077 호에 따라 수행될 수도 있다. Co 충진은 또한 Co 타깃으로부터 스퍼터링에 의해 또는 전기도금에 의해 수행될 수도 있다.
일부 실시예들에서, 기판은 Co의 박형 씨드 층의 형성 후, Co 충진 후, 또는 양자 후에 어닐링될 수도 있다. 기판을 어닐링하는 것은 Co 저항률을 하강시킬 수 있고, 그리고 고온에서, Co 및 Mn의 합금의 형성 (또는 다른 화합물 형성) 을 보조할 수 있다. 일부 실시예들에서, 어닐링은 약 250 ℃ 내지 약 500 ℃의 온도에서 수행될 수도 있다. Co 및 Mn의 합금을 형성하기 위해, 보다 높은 온도들, 예를 들어, 거의 600 ℃가 채용될 수도 있다. 일부 실시예들에서, 합금 온도보다 낮은 온도들이 Mn과 Co를 반응시키도록 사용될 수도 있다. 어닐링의 지속기간은 기판을 가열하기 위해 사용된 가열 방법의 타입에 따를 수도 있다. 예시적인 기법들은 복사 가열, 레이저 가열, 열적 가열, 및 전자기 복사 가열을 포함한다. 일부 실시예들에서, 어닐링 시간이 가능한 신속하게 수행되도록 어닐링이 수행될 수도 있다. 일부 실시예들에서, 가열은 1 초 내지 30분의 지속기간 동안 수행될 수도 있다.
일부 실시예들에서, 망간 나이트라이드 (MnN, Mn3N2을 포함하는 임의의 적절한 화학량론비를 포함할 수도 있음) 는 도 1의 동작 104에서 수행될 수도 있다. 예를 들어, 후속 동작들이 공기에의 노출을 수반한다면, 망간 나이트라이드가 사용될 수도 있다. 공기에의 노출은 망간 실리케이트의 형성을 방지하는, 순수 Mn 막이 증착될 때 고속 산화를 야기할 수 있다. MnN 층을 형성함으로써, 산화가 방지될 수 있다. 망간 실리케이트를 형성하기 위한 어닐링은 표면으로부터 질소를 탈착할 것이다. MnN은 동작 104의 일부로서 ALD 프로세스 또는 CVD 프로세스에서 암모니아 (NH3) 와 같은 Mn-함유 전구체 및 질소-함유 반응물질을 사용하여 형성될 수도 있다. 대안적으로, 원소 Mn의 ALD 또는 CVD 증착에 이어, 망간 실리케이트 층을 형성하기 위한 어닐링이 공기 노출 없이 진공 하에서 수행될 수도 있다. 이어서 기판은 코발트 충진을 위해 진공 하에서 이송될 수도 있다. SiO2 상에 MnN 증착하고 이어서 어닐링에 의해 실리케이트 배리어를 형성하는 것은, 본 명세서에 참조로서 인용된, Au, Yeung, Youbo Lin, and Roy G. Gordon. 2011. Filling narrow trenches by iodine-catalyzed CVD of copper and manganese on manganese nitride barrier/adhesion layers. Journal of Electrochemical Society 158(5): D248-D253에 기술된다.
다양한 실시예들에 따라, 방법들은 로직 상호연결 (MOL 및 BEOL), 금속 게이트 애플리케이션들, 및 Co 금속화를 수반하는 다른 애플리케이션들에 사용될 수도 있다. Co로의 Mn 금속화 스킴들 (예를 들어 소스/드레인 콘택트 충진 또는 국부적인 상호 연결) 을 포함하는, 도 1에 대해 상기 기술된 방법들은 디바이스 성능의 향상을 위해 Co의 사용에 의해 제시되는 다양한 통합 문제들을 해결한다.
문제들은 다음을 포함한다: 1) Co가 고유한 산화환원 반응 속성들로 인해 쉽게 산화될 수 있다, 2) Co가 유전체 표면들 (예를 들어, SiO2 및 Si3N4) 및 산화된 금속 기판들에 잘 부착하지 않는다, 3) Co가 특정한 유전체들 내로 확산하고 반도체 디바이스 상에서 TDDB (time-dependent dielectric breakdown) 이슈들을 유발할 수 있다, 4) 저항률 감소를 위해, Co 막은 약 400 ℃로 증착 후 어닐링될 수도 있다. Co는 막 내에서 큰 스트레스 히스테리시스의 발현을 야기하는 어닐링 동안 상 변환을 겪는다. 후자는 기판에의 부착이 적정하지 않다면 막 디라미네이션 (delamination) 을 유발할 수 있고, 그리고 5) 후 증착 어닐링과 유사한 고온 사이클링은 디바이스 제조 동안 후속 금속화/절연 시퀀스들에서 반복되고, Co 막과의 신뢰성 이슈들을 유발할 수도 있다.
배리어 및 접착 층으로서의 박형 Mn 층 (20 Å 미만, 그리고 일부 경우들에서 10 Å 미만) 의 사용은 이들 통합 장애물들을 극복한다. 먼저, Co 및 Mn가 상이한 합금들을 형성하기 때문에, 일부 실시예들에서, Co는 다른 가능한 라이너 금속들보다 Mn에 더 잘 부착한다. 더욱이, Mn은 상이한 타입들의 실리콘 다이옥사이드와의 반응성에 의해 고유한 자가-형성 배리어 특성을 갖는다. 이렇게 하여, SiO2 기판들 상의 Co에 대한 박형 배리어 및 접착 층을 제공한다. 이렇게 하여, 대부분의 전자 도전은 보다 낮은 저항의 Co 금속을 통해 일어난다.
일부 실시예들에서, Mn-함유 막은 플라즈마의 형태로 제공될 수도 있는, N 종에 대한 노출에 의해 처리된다. Mn은 Co의 핵생성 및 성장에 대해 Mn과 상이하게 거동하는 MnN을 형성하도록 활성 N 종 (N 라디칼들 또는 N 이온들) 과 반응한다. 다이렉트 또는 리모트 N2 플라즈마의 사용으로, 예를 들어, Mn-함유 층의 표면은 Co의 성장을 선택적으로 억제하도록 차동 처리될 수 있다 (예를 들어, 피처의 상단부는 피처의 하단부에 대해 우선적으로 처리될 수도 있다). 이는 진보된 로직 (예를 들어 소스/드레인 콘택트 및 금속 게이트) 또는 메모리 (3D NAND 워드 라인) 애플리케이션들에서 통상적으로 직면하는 고 종횡비 구조체의 보이드 프리 충진을 위한 보텀-업 성장 방식을 용이하게 할 수 있다. 반응성 N 처리는 N2 플라즈마를 사용하여 이루어질 수 있다. Mn 막 내로 N 통합의 대안적인 방식은 Mn 막이 형성된 후 플라즈마를 사용하여 또는 플라즈마를 사용하지 않고 NH3의 사용이다.
일부 실시예들에서, 피처의 상단부에서 그리고 근방에서 Mn 표면들은 질소-함유 가스로부터 생성된 플라즈마에 노출에 의해 처리된다. 이 처리는 "ICE (inhibitor controlled exposure)" 또는 "플라즈마-기반 표면 질화"로서 지칭될 수도 있다. 일부 실시예들에서, 리모트 플라즈마는 플라즈마를 생성하도록 사용된다. 다양한 실시예들에서, 플라즈마는 플라즈마로부터의 활성 종이 기판의 평면에 수직인 방향의 피처의 표면들과 직접 콘택트하도록 지향성 플라즈마이다. 일부 실시예들에서, 처리된 표면들은 Mn-함유 막 상의 Co 씨드 또는 Co 벌크 충진의 후속 증착에서 긴 핵생성 지연을 트리거하는 MnNx을 형성할 수도 있다. 일부 MnNx은 안정하지 않고, 질화된 Mn-함유 막들로 하여금 Co 핵생성의 일시적인 억제제가 되게 한다.
다양한 피처들이 피처의 하단부의 폭보다 좁은 개구부를 가질 수도 있기 때문에, 일부 실시예들에서, 플라즈마는 주로 피처의 상단 표면들 및 피처 측벽들의 상단 약 10 % 내지 약 50 %를 처리한다. 일부 실시예들에서, 플라즈마는 피처 측벽들의 상단 약 10 % 내지 약 30 %를 처리한다. 소형 피처를 수반하는 다양한 실시예들에서, 좁은 개구부로 인해, 피처 측벽들의 하단 약 50 % 내지 90 %는 처리되지 않은 채로 남는다. 일부 실시예들에서, 피처 측벽들의 하단 약 70 % 내지 90 %는 처리되지 않은 채로 남는다. 일부 실시예들에서, 활성 종이 피처 개구부를 때릴 때 (hit), 피처의 상단 근방에 작은 경사의 처리된 Mn 표면들을 남기면서, 질소 이온들은 개구부 주변에 충돌한다. 처리된 표면들이 보다 긴 핵생성 지연을 나타내기 때문에, 처리에 의해 형성된 피처 축을 따라 차동 억제 프로파일이 있도록 처리된 표면들 상에서 코발트 핵생성이 선택적으로 억제된다. 선택적인 패시베이션, 차동 억제, 또는 차동 패시베이션으로 또한 참조될 수도 있는, 선택적인 억제는 피처의 일부의 후속하는 코발트 핵생성을 수반하는 반면, 피처의 나머지 부분에서 핵생성을 억제하지 않는다 (또는 보다 적은 정도로 핵생성을 억제함). 예를 들어, 일부 실시예들에서, 피처는 피처 개구부에서 또는 근방 (예를 들어, 피처 측벽들 및 피처의 상단 표면들의 약 10 % 내지 약 50 %, 또는 약 10 % 내지 약 30 %) 에서 선택적으로 억제되는 한편, 피처 내부 측벽들의 하단 약 70 % 내지 90 %, 또는 약 50 % 내지 90 %는 억제되지 않는다.
지향성 플라즈마는 차동 억제 프로파일을 형성하는 다른 종들 중에서 이온들, 중성 종들, 및 라디칼들을 생성할 수도 있다. 지향성 플라즈마에 대해, 생성된 이온들은 억제 프로세스에서 우세 종일 수도 있다.
일부 실시예들에서, 리모트 플라즈마가 사용될 수도 있다. 리모트 플라즈마는 중성 종들 및 라디칼들 뿐만 아니라 다른 종들에 더하여 이온들을 생성할 수도 있다. 그러나, 지향성 인시츄 플라즈마와 비교하여, 리모트 플라즈마는 이온들을 거의 생성하지 않거나 생성하지 않을 수도 있고, 억제 프로세스에서 우세 종들은 이온들이 아니라 라디칼들일 수도 있다. 다양한 실시예들에서, 리모트 플라즈마는 기판의 컴포넌트들에 대한 대미지가 감소되도록 (예를 들어, 기판 상으로 플라즈마 종의 충격이 거의 없도록) 보다 부드러울 수도 있다. 이는 특히, 인시츄 또는 지향성 프라즈마에 의해 손상된다면, 성능 이슈들에 보다 민감할 수도 있는, 로직 디바이스들을 제조할 때 사용될 수도 있다. 플라즈마에 의해 유발된 대미지는 디바이스의 기능성을 감소시킬 수도 있다. 예를 들어, 트랜지스터의 제조를 위해, 트랜지스터의 컴포넌트들에 대한, 예컨대 금속 게이트 영역 내 플라즈마 대미지는 전압 시프트 또는 전기적 비효율성을 발생시킬 수도 있다.
리모트 플라즈마가 사용되면, 기판 온도는 약 30 ℃ 내지 약 450 ℃의 온도로 설정될 수도 있다. 다양한 실시예들에서, 챔버 압력은 약 0.001 Torr 내지 약 10 Torr의 압력으로 설정될 수도 있다. RF 전력은 약 50 W 내지 10000 W일 수도 있다. 다양한 실시예들에서, 질소-함유 가스는 질소-기반 플라즈마를 생성하도록 리모트 플라즈마 생성기로 흐른다. 질소-함유 가스는 상기 기술된 가스들 중 임의의 가스일 수도 있다. 일부 실시예들에서, 질소-함유 가스는 질소 (N2) 이다. 질소 플로우 레이트는 약 5 sccm 내지 약 10000 sccm일 수도 있다. 기판은 약 1 초 내지 약 200 초의 지속기간 동안 리모트 플라즈마 생성기로부터 생성된 질소-기반 플라즈마에 노출될 수도 있다.
실험
약 30 Å MnN이 250 ℃ 보다 높은 온도에서 CVD 방식을 사용하여 열적 SiO2 기판 상에 증착되었다. 실리케이트가 어닐링에 의해 형성되었다. 300 ℃에서 탈가스가 수행되었다. 공기 노출 동안 형성된 임의의 MnOx이 H2 플라즈마에 의해 환원되는, 외부 플라즈마 처리 챔버로 샘플이 이송되었다. 이어서 샘플은 약 90 Å Co가 PVD에 의해 Mn 표면 상으로 증착되는 Co 증착 챔버로 진공에서 이송되었다. 이어서 최종 샘플은 H2/He 분위기 400 ℃에서 10 분 동안 어닐링되는, 어닐링 챔버로 진공에서 이송되었다. 제 2 샘플이 또한 Co 증착 후 어닐링 없이 참조로서 준비되었다. 제 3 샘플이 탈가스 없이, H2 환원 플라즈마 없이 그리고 어닐링 없이 준비되었다.
XPS 프로파일링 분석이 Co/Mn/SiO2의 계면들을 검사하도록 수행되었다.
도 3 내지 도 5는 도 3은 어닐링을 사용하고, 도 4는 어닐링을 사용하지 않고, 도 5는 탈가스 (degas), H2 플라즈마, 또는 어닐링을 사용하지 않은, SiO2 상의 Mn/Co 금속 스택의 XPS 프로파일링을 도시한다. 결과들은 Mn이 안정한 MnSiyOz 층을 형성하도록 SiO2와 반응하는 것을 나타낸다. 도 3의 MnSiyOz 층의 상단 표면에서 Si 및 Mn 신호의 오버랩에 의해 증명된 바와 같이, 400 ℃ 어닐링 후에도 Co 층 내로 Mn의 확산이 거의 없다. 프로파일은 또한 어떠한 어닐링도 보이지 않은, 도 4 및 도 5에서 증착된 샘플과 유사하다. 층은 도 3 및 도 4를 도 5와 비교함으로써 알 수 있는 바와 같이, 탈가스 및 H2 플라즈마 동안에도 안정하다.
모든 샘플들에 대해 하단 MnSiyOz 배리어 층을 넘어 내로 Co의 침투가 거의 없거나 없다. 이 결과들은 Co 확산에 대한 우수한 배리어를 SiO2 상에 형성한다는 것을 암시한다. 부가적으로, Mn의 Co 층 내로의 침출 및 확산이 없어, 400 ℃에서 MnSiyOz 층이 안정하다는 것을 암시한다. 이는 Co를 어닐링하는 것이 입자들로 하여금 성장하게 하고 막을 치밀화하고 저항률을 하강시키기 때문에 중요하다. 도 6은 어닐링 전에 Mn-함유 층 상의 Co의 이미지를 도시하고 도 7은 어닐링 후에 Mn-함유 층 상의 Co의 이미지를 도시한다. 이미지들을 비교함으로써 알 수 있는 바와 같이 어닐링은 막을 치밀화한다.
장치
임의의 적합한 챔버가 개시된 실시예들을 구현하는데 사용될 수도 있다. 일부 실시예들에서, 코발트의 증착 동안 플라즈마가 사용되지 않을 수도 있다. 증착 장치들의 예들은 예를 들어 CA, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 ALTUS 및 ALTUS Max와 같은 다양한 시스템들 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다.
본 명세서에 제공된 증착 기법들은 또한 PECVD 챔버 또는 CFD (conformal film deposition) 챔버 또는 일부 실시예들에서, ALD 챔버 내에서 구현될 수도 있다. 적합한 챔버는 많은 형태들을 취할 수도 있고, 각각 하나 이상의 기판 또는 웨이퍼들을 하우징할 수도 있고 다양한 기판 프로세싱 동작들을 수행하도록 구성될 수도 있는 (때때로 복수의 스테이션들을 포함하는) 하나 이상의 챔버들 또는 반응기들을 포함하는 장치의 일부일 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (이 위치에서 운동, 예를 들어, 회전, 진동 또는 다른 교반과 함께 또는 운동 없이) 에 기판을 유지할 수도 있다. 일 구현예에서, 프로세스 동안, 막 증착을 겪는 기판은 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 다른 구현예들에서, 기판은 상이한 동작들, 예컨대 에칭 동작들, 또는 리소그래피 동작들을 수행하도록 장치 내에서 챔버로부터 챔버로 이송될 수도 있다. 완전한 막 증착은 단일 스테이션에서 전체적으로 또는 임의의 증착 단계에 대해 총 막 두께의 임의의 분율로 일어날 수도 있다. 이 프로세스 동안, 기판 각각은 페데스탈, 기판 척, 및/또는 다른 기판-홀딩 장치에 의해 제자리에 홀딩될 수도 있다. 기판이 가열되는 특정한 동작들을 위해, 장치는 히터, 예컨대 히팅 플레이트를 포함할 수도 있다.
도 8a는 본 명세서에서 기술된 방법들을 구현하기 위해 배열된 다양한 반응기 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반응기 (800) 는 반응기의 다른 컴포넌트들을 둘러싸고 접지된 히터 블록 (820) 과 함께 동작하는 샤워헤드 (814) 를 포함하는 용량-방전 (capacitive-discharge) 타입 시스템에 의해 생성된 플라즈마를 담도록 기능하는 프로세스 챔버 (824) 를 포함한다. 고 주파수 (HF) RF 생성기 (804) 및 저 주파수 (LF) RF 생성기 (802) 는 매칭 네트워크 (806) 및 샤워헤드 (814) 에 연결될 수도 있다. 매칭 네트워크 (806) 에 의해 공급된 전력 및 주파수는 프로세스 챔버 (824) 에 공급된 프로세스 가스들로부터 플라즈마를 생성하기에 충분할 수도 있다. 예를 들어, 매칭 네트워크 (506) 는 100 W 내지 1000 W의 전력을 제공할 수도 있다. 통상적인 프로세스에서, HFRF 컴포넌트는 일반적으로 1 ㎒ 내지 100 ㎒, 예를 들어, 13.56 ㎒일 수도 있다. LF 컴포넌트가 있는 동작들에서, LF 컴포넌트는 약 1 ㎒ 미만, 예를 들어 100 ㎑일 수도 있다.
반응기 내에서, 웨이퍼 페데스탈 (518) 은 기판 (516) 을 지지한다. 페데스탈 (518) 은 증착 및/또는 플라즈마 처리 반응들 사이에 그리고 증착 및/또는 플라즈마 처리 반응들 동안 기판을 홀딩하고 이송하기 위한 척, 포크, 또는 리프트 핀들 (lift pins)(미도시) 을 포함할 수도 있다. 척은 산업 및/또는 연구에 사용하기 위해 사용가능한 정전 척, 기계적인 척 또는 다양한 다른 타입들의 척일 수도 있다.
다양한 프로세스 가스들은 유입구 (512) 를 통해 도입될 수도 있다. 복수의 소스 가스 라인들 (510) 이 매니폴드 (508) 에 연결된다. 가스들은 미리 혼합될 수도 있고 되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들이 올바른 프로세스 가스들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 전달되는 것을 보장하도록 채용될 수도 있다. 화학적 전구체(들)가 액체 형태로 전달되는 경우, 액체 플로우 제어 메커니즘들이 채용될 수도 있다. 이어서 이러한 액체들은 기화되고 증착 챔버에 도달하기 전에 액체 형태로 공급된 화학적 전구체의 기화점 (vaporization point) 이상으로 가열된 매니폴드 내에서의 운송 동안 프로세스 가스들과 혼합될 수도 있다.
프로세스 가스들, 예컨대 Mn-함유 전구체, Co-함유 전구체 또는 N-함유 가스는 유출부 (522) 를 통해 챔버 (524) 를 나온다. 진공 펌프, 예를 들어, 1단계 또는 2단계 기계적 드라이 펌프, 및/또는 터보분자 (turbomolecular) 펌프 (540) 는 프로세스 챔버 (524) 로부터 프로세스 가스들을 유출하고 (draw out), 쓰로틀 밸브 (throttle valve) 또는 진자 밸브 (pendulum valve) 와 같은 폐루프 제어된 플로우 제한 디바이스를 사용함으로써 프로세스 챔버 (524) 내에서 적합하게 저압을 유지하도록 사용될 수도 있다.
상기 논의된 바와 같이, 본 명세서에서 논의된 증착 기법들은 멀티-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 도 9는 이러한 툴의 예의 개략적인 예시이다. 구체적인 실시예들에서, 4-스테이션 증착 스킴을 갖는 300 ㎜ Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200㎜ SequelTM 툴이 사용될 수도 있다. 일부 구현예들에서, 450 ㎜ 기판들을 프로세싱하기 위한 툴들이 사용될 수도 있다. 다양한 구현예들에서, 기판들은 매 증착 및/또는 증착-후 플라즈마 처리 후에 인덱싱 (index) 될 수도 있고, 또는 에칭 챔버들 또는 스테이션들이 또한 동일한 툴의 일부이면 에칭 단계들 후에 인덱싱될 수도 있고, 또는 복수의 증착들 및 처리들이 기판을 인덱싱하기 전에 단일 스테이션에서 수행될 수 있다.
일부 실시예들에서, 본 명세서에 기술된 기법들을 수행하도록 구성된 장치가 제공될 수도 있다. 적합한 장치는 다양한 프로세스 동작들을 수행하기 위한 하드웨어 뿐만 아니라 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기 (530) 를 포함할 수도 있다. 시스템 제어기 (530) 는 통상적으로 하나 이상의 메모리 디바이스들 및 다양한 프로세스 제어 장비, 예를 들어, 밸브들, RF 생성기들, 기판 핸들링 시스템들, 등과 통신가능하게 연결되고, 장치가 개시된 실시예들에 따른 기법, 예를 들어, 도 1의 증착 단계들에 제공된 바와 같은 기법을 수행하게 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (530) 에 커플링될 수도 있다. 시스템 제어기 (530) 는 본 명세서에 기술된 바와 같은 증착 동작들과 연관된, 다양한 프로세스 파라미터들의 제어를 용이하게 하도록, 다양한 하드웨어 디바이스들, 예를 들어, 질량 유량 제어기들, 밸브들, RF 생성기들, 진공 펌프등, 등과 통신가능하게 연결될 수도 있다.
일부 실시예들에서, 시스템 제어기 (530) 는 반응기 (500) 의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (530) 는 대용량 저장 디바이스에 저장되고 메모리 디바이스 내로 로딩되어 프로세서 상에서 실행되는 시스템 제어 소프트웨어를 실행할 수도 있다. 시스템 제어 소프트웨어는 가스 플로우들의 타이밍, 기판 운동, RF 생성기 활성화, 등을 제어하기 위한 인스트럭션들 뿐만 아니라, 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 반응기 장치 (500) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 예를 들어, 소프트웨어는 Mn-함유 전구체의 플로우 레이트, Co-함유 전구체, 환원제의 플로우 레이트, 질소-함유 가스의 플로우 레이트, 및 상기 기술된 플로우 화학물질들 각각에 대한 노출 시간들을 제어하기 위한 인스트럭션들 또는 코드를 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
시스템 제어기 (530) 는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 개시에 따른 기법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 프로세서들을 포함할 수도 있다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (530) 에 커플링될 수도 있다.
도 8b는 특정한 실시예들에 따른 반도체 기판을 프로세싱하기 위한 장치의 개략도를 예시한다. 장치는 페데스탈 (520B), 샤워헤드 (514B), 및 선택가능하게 인시츄 플라즈마 생성기 (516B) 를 갖는 챔버 (518B) 챔버를 포함한다. 장치는 또한 입력을 수신하고 그리고/또는 다양한 디바이스들로 제어 신호들을 공급하기 위한 시스템 제어기 (522B) 를 포함한다. 장치는 또한 샤워헤드 (514B) 를 통해 챔버로 전달될 수도 있는 다른 가스들 (512B) 을 포함한다.
질소-함유 가스 및, 특정한 실시예들에서, 불활성 가스들, 예컨대 아르곤, 헬륨 등이 저장 탱크일 수도 있는 소스 (502B) 로부터 리모트 플라즈마 생성기 (506B) 로 공급된다. 챔버 (518B) 내로 질소-함유 가스를 도입하기 전에 질소-함유 가스를 활성화하기 위해 임의의 적합한 리모트 플라즈마 생성기가 사용될 수도 있다. 예를 들어, 모두가 Massachusetts Andover 소재의 MKS Instruments로부터 입수가능한, ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645와 같은, RPC (Remote Plasma Cleaning) 유닛들이 사용될 수도 있다. RPC 유닛은 통상적으로 공급된 질소-함유 가스를 사용하여 약하게 이온화된 플라즈마를 생성하는 독립된 (self-contained) 디바이스이다. RPC 유닛 내에는 고 전력 RF 생성기가 내장되어 플라즈마 내의 전자들에 에너지를 제공한다. 이어서, 이 에너지는 중성의 에천트 분자들에 전달되어서 대략 2000 K의 온도에 이르게 하며 이로써 이러한 분자들의 열적 해리를 유발한다. RPC 유닛은 높은 RF 에너지 및 분자들이 이 에너지의 대부분을 흡수하게 하는 특별한 채널 기하구조로 인해서 유입되는 분자들의 60 %보다 많은 분자를 해리할 수도 있다. 다양한 실시예들에서, 리포트 플라즈마 생성기는 약 50 W 내지 약 10000 W의 RF 플라즈마 전력을 사용하여 플라즈마를 생성할 수도 있다.
특정한 실시예들에서, 질소-기반 플라즈마는 리모트 플라즈마 생성기 (506B) 로부터 연결 라인 (508B) 을 통해, 혼합물이 샤워헤드 (514B) 를 통해 분배되는 챔버 (518B) 내로 흐른다. 다른 실시예들에서, 질소-기반 플라즈마는 리모트 플라즈마 생성기 (506B) 를 완전히 바이스패스하고 바로 챔버 (518B) 내로 흐른다 (예를 들어, 장치는 이러한 생성기를 포함하지 않음). 대안적으로, 리모트 플라즈마 생성기 (506B) 는, 예를 들어, 에천트의 활성화가 필요하지 않기 때문에 질소-기반 플라즈마가 챔버 (518B) 내로 흐르는 동안 턴오프될 수도 있다.
일부 실시예들에서, 샤워헤드 (514B) 또는 페데스탈 (520B) 은 통상적으로 부착된 내부 플라즈마 생성기 (516B) 를 가질 수도 있다. 일 예에서, 생성기 (516B) 는 약 1 ㎒ 내지 100 ㎒ 주파수에서 약 0 W 내지 10,000 W를 제공할 수 있는 고 주파수 (HF) 생성기이다. 보다 구체적인 구현예에서, HF 생성기는 약 13.56 ㎒ 주파수에서 약 0 W 내지 5,000 W를 전달할 수 있다. RF 생성기 (516B) 는 최초 텅스텐 층의 제거를 향상시키도록 인시츄 플라즈마를 생성할 수도 있다. 특정한 실시예들에서, RF 생성기 (516B) 는 프로세스의 제거 동작들 동안 사용되지 않는다.
챔버 (518B) 는 다양한 프로세스 파라미터들, 예컨대 증착도 및 에칭도, 농도들, 압력, 온도 등을 센싱하기 위한 센서 (524B) 를 포함할 수도 있다. 센서 (524B) 는 시스템 제어기 (522B) 로 프로세스 동안 챔버 조건들에 대한 정보를 제공할 수도 있다. 센서 (524B) 의 예들은 질량 유량 제어기들, 압력 센서들, 써모커플들, 등을 포함한다. 센서 (524B) 는 또한 챔버 내 가스들의 존재를 모니터링하기 위한 적외선 검출기 또는 광학적 검출기 및 제어 도구들을 포함할 수도 있다.
증착 및 선택적인 제거 동작들은 챔버 (518B) 로부터 배기되는 다양한 휘발성 종을 생성한다. 더욱이, 프로세싱은 챔버 (518B) 내 특정한 미리 결정된 압력 레벨들에서 수행된다. 예를 들어, 일부 실시예들에서, 챔버 압력은 약 0.001 Torr 내지 약 10 Torr의 압력으로 설정될 수도 있다. 이들 두 기능들은, 진공 펌프일 수도 있는, 진공 유출부 (526B) 를 사용하여 달성될 수도 있다.
Mn-함유 전구체들 또는 코발트-함유 전구체들, 뿐만 아니라 처리 화학물질은 페데스탈 (520B) 상의 기판은 다양한 실시예들 동안 전구체 또는 처리 화학물질에 노출되도록 샤워헤드 (514B) 로부터 챔버로 들어갈 수도 있다.
특정한 실시예들에서, 시스템 제어기 (522B) 는 도 8a에 대해 상기 기술된 시스템 제어기 (530) 의 임의의 피처들 및 기능들 또는 도 9에 대해 이하에 기술된 제어기 (650) 의 임의의 피처들 및 기능들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 9는 인바운드 로드 록 (602) 및 아웃바운드 로드 록 (604) 을 갖는 멀티-스테이션 프로세싱 툴 (600) 의 실시예의 개략도를 도시하고, 인바운드 로드 록 및 아웃바운드 로드 록 중 하나 또는 양자는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (606) 은 대기 포트 (610) 를 통해 인바운드 로드 록 (602) 으로 로딩된 카세트로부터 포드 (608) 를 통해 기판들을 이동시키도록 구성된다. 기판은 로봇 (606) 에 의해 인바운드 로드 록 (602) 내의 페데스탈 (612) 상에 위치되고, 대기 포트 (610) 는 닫히고, 로드 록은 펌프다운된다. 인바운드 로드 록 (602) 이 리모트 플라즈마 소스를 포함하면, 기판은 프로세싱 챔버 (614) 내로 도입되기 전에 로드 록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 기판은 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드 록 (602) 내에서 히팅될 수도 있다. 다음에, 프로세싱 챔버 (614) 로의 챔버 이송 포트 (616) 가 개방되고, 또 다른 로봇 (미도시) 이 기판을 반응기 내로 프로세싱을 위해 반응기 내의 제 1 스테이션의 페데스탈 상에 배치한다. 도 9에 도시된 실시예가 로드 록들을 포함하지만, 일부 실시예들에서, 기판의 프로세스 스테이션 내로 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (614) 는 도 9에 도시된 실시예에 1 내지 4로 번호가 붙여진 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 618로 도시됨) 및 가스 라인 유입부들을 갖는다. 일부 스테이션들은 도 8a에 대해 상기 기술된 것과 유사한 컴포넌트들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이한 또는 복수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 CVD 프로세스 모드 사이에서 스위칭가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (614) 는 ALD 프로세스 스테이션 및 CVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 일부 실시예들에서, Mn-함유 막은 일 스테이션 (스테이션 1) 에서 ALD 또는 CVD를 사용하여 열적으로 (플라즈마를 사용하지 않고) 피처들 내에 디포짓될 수도 있다. 이어서 기판은 동일한 챔버 (614) 내 제 2 스테이션 (예컨대 스테이션 2) 으로 또는 상이한 챔버 내 스테이션으로 이송될 수도 있고, 여기서 기판은 ALD에 의해 Co 씨드 층 및 CVD에 의해 벌크 코발트를 증착하도록 질소-함유 가스 및 플라즈마에 노출되고, 이어서 코발트-함유 전구체 및 환원제에 노출된다. 일부 실시예들에서, 환원제는 질소-함유 가스가 챔버 내로 흐르는 동안 플라즈마 노출과 교번한다. 질소-함유 가스 및/또는 환원제는 문제의 기판이 있는 스테이션 (예컨대 스테이션 2) 으로만 도입될 수도 있고, 또는 전체 챔버 (614) 로 도입될 수도 있다.
다양한 실시예들에서, 기판은 제 2 스테이션으로 이송되지 않는다. 오히려, 기판은 열적 디포지션 동안과 동일한 스테이션 (예를 들어, 스테이션 1) 내에 남아 있지만, 스테이션은 열적 디포지션 후에 스테이션으로 환원제, 질소-함유 가스 및 플라즈마를 또한 도입하도록 장치된다.
일부 실시예들에서, 기판이 Mn의 열적 디포지션을 겪은 후, 기판은 또한 다양한 스테이션들을 포함할 수도 있는 상이한 챔버로 이송된다. 도시된 프로세싱 챔버 (614) 는 4 개의 스테이션들을 포함하지만, 본 개시된에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 9는 또한 프로세싱 챔버 (614) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (609) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (609) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 9는 또한 프로세스 툴 (600) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (650) 의 실시예를 도시한다. 시스템 제어기 (650) 는 하나 이상의 메모리 디바이스들 (656), 하나 이상의 대용량 저장 디바이스들 (654), 및 하나 이상의 프로세서들 (652) 을 포함할 수도 있다. 프로세서들 (652) 은 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (650) 는 프로세스 툴 (600) 의 모든 액티비티들을 제어한다. 시스템 제어기 (650) 는 대용량 저장 장치 (654) 에 저장되고 메모리 디바이스 (656) 내로 로딩되어 프로세서 (652) 상에서 실행되는 시스템 제어 소프트웨어 (658) 를 실행한다. 대안적으로, 제어 로직은 제어기 (650) 내에서 하드 코딩될 수 있다. ASIC (Applications Specific Integrated Circuits), PLD (Programmable Logic Devices) (예를 들어, FPGA (field-programmable gate arrays)) 등이 이들 목적들을 위해서 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 필적할만한 하드 코딩된 로직이 대신에 사용될 수도 있다. 시스템 제어 소프트웨어 (658) 는 타이밍, 가스의 혼합물, 반-포화된 가스 플로우의 양, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (600) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수 있다. 시스템 제어 소프트웨어 (658) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하는데 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (658) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (658) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (650) 와 연관된 대용량 저장 디바이스 (654) 및/또는 메모리 디바이스 (656) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (618) 상에 기판을 로딩하고 기판과 프로세스 툴 (600) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 코발트-함유 전구체, 환원제, 및 질소-함유 가스) 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택가능하게 프로세스 스테이션의 압력을 안정화하기 위해 증착 전에 하나 이상의 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션 내로의 가스 플로우, 등을 조절함으로써, 프로세스 스테이션 내 압력을 제어하기 위한 코드를 포함할 수도 있다.
일부 구현예들에서, 제어기 (650) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 (614) 와 같은 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (650) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (650) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기 (650) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다. 예를 들어, 파라미터들은 코발트-함유 전구체 가스 플로우, 환원제 가스 플로우, 캐리어 가스 플로우, 질소-함유 가스 플로우, 플라즈마 전력 및 주파수, 페데스탈 온도, 스테이션 또는 챔버 압력 및/또는 온도, 등을 포함할 수도 있다.
제어기 (650) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (650) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (650) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기 (650) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (650) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기 (650) 의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기 (650) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 툴과 같은 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
히터 제어 프로그램은 기판을 히팅하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (650) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (650) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (650) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (600) 의 아날로그 출력 연결부 및/또는 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 써모커플 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (650) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 전력 레벨, 압력, 온도, 플라즈마 펄스 주파수, 플라즈마 노출 지속기간, UV 노출 지속기간, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인-시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이고, 장치가 개시된 실시예들에 따른 방법을 수행할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체는 시스템 제어기 (650) 에 커플링될 수도 있다.
본 명세서에 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 동작들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (14)

  1. (a) 피처 개구부를 포함하는 피처를 갖는 기판을 제공하는 단계;
    (b) 상기 피처 내에 망간 나이트라이드 라이너 층을 형성하고, 망간 실리케이트 층을 형성하기 위해 망간으로 하여금 실리콘-함유 하부층과 반응하고 상기 기판으로부터 질소를 탈착하게 하도록 적어도 350 ℃로 상기 기판을 가열하는 단계; 및
    (c) 상기 단계 (b) 후에, 코발트로 상기 피처를 적어도 부분적으로 충진하도록 상기 기판을 코발트-함유 전구체에 노출하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 단계 (c) 는 코발트로 상기 피처를 완전히 충진하는 단계를 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 코발트를 어닐링하도록 적어도 400 ℃의 온도로 상기 기판을 가열하는 단계를 더 포함하는, 방법.
  4. 제 3 항에 있어서,
    상기 기판의 상기 가열하는 단계 동안 코발트와 적어도 일부 망간을 반응시키는 단계를 더 포함하는, 방법.
  5. 제 3 항에 있어서,
    상기 기판의 상기 가열하는 단계 동안 코발트와 상기 망간의 적어도 일부를 합금하는 단계를 더 포함하는, 방법.
  6. 삭제
  7. 삭제
  8. (a) 피처 개구부를 포함하는 피처를 갖는 기판을 제공하는 단계;
    (b) 상기 피처 내의 원소 망간 막의 ALD (atomic layer deposition) 또는 CVD (chemical vapor deposition) 를 포함하여, 상기 피처 내에 망간 나이트라이드 라이너 층을 형성하고, 망간 실리케이트 층을 형성하기 위해 상기 원소 망간 막으로 하여금 실리콘-함유 하부층과 반응하게 하도록 적어도 350 ℃로 상기 기판을 가열하는 단계―상기 원소 망간 막의 노출된 부분은 망간 실리케이트로 변환되지 않음―; 및
    (c) 상기 단계 (b) 후에, 코발트로 상기 피처를 적어도 부분적으로 충진하도록 상기 기판을 코발트-함유 전구체에 노출하는 단계를 포함하는, 방법.
  9. 제 1 항에 있어서,
    상기 피처 내의 상기 망간 나이트라이드 라이너 층은 ALD 또는 CVD에 의하여 형성되는, 방법.
  10. 삭제
  11. (a) 피처 개구부를 포함하는 피처를 갖는 기판을 제공하는 단계;
    (b) 상기 피처 내에 망간-함유 라이너 층을 형성하는 단계; 및(c) 상기 단계 (b) 후에, 코발트로 상기 피처를 적어도 부분적으로 충진하도록 상기 기판을 코발트-함유 전구체에 노출하는 단계를 포함하고, 그리고
    상기 단계 (b) 후 및 상기 단계 (c) 전에, 상기 피처 내에 차동 억제 프로파일 (differential inhibition profile) 을 형성하도록 질소 종에 상기 기판을 노출하는 단계를 더 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 차동 억제 프로파일에 따라 상기 피처 내에 코발트를 우선적으로 증착하는 단계를 더 포함하는, 방법.
  13. 제 1 항에 있어서,
    상기 단계 (c) 는 후속 Co 도금 프로세스를 위한 코발트 씨드 층을 증착하는 단계를 포함하는, 방법.
  14. (a) 피처 개구부를 포함하는 피처 및 유전체 실리콘-함유 측벽을 갖는 기판을 제공하는 단계;
    (b) 상기 피처 내에 원소 망간 층을 형성하는 단계로서, 상기 원소 망간 층은 상기 피처에 컨포멀한 (conformal), 상기 원소 망간 층을 형성하는 단계;
    (c) 상기 단계 (b) 후에, 상기 원소 망간 층의 노출된 부분으로 하여금 변환되지 않고 남아 있게 하는, 상기 원소 망간 층의 일부를 망간 실리케이트 층으로 변환하는 단계;
    (d) 상기 피처를 코발트로 충진하는 단계; 및
    (e) 코발트와 상기 망간 실리케이트 층 간의 계면에서 코발트-망간 합금을 형성하는 단계를 포함하는, 방법.
KR1020170058543A 2016-05-13 2017-05-11 코발트에 대한 망간 배리어 층 및 접착 층 KR102394249B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662336121P 2016-05-13 2016-05-13
US62/336,121 2016-05-13
US15/592,046 2017-05-10
US15/592,046 US10438847B2 (en) 2016-05-13 2017-05-10 Manganese barrier and adhesion layers for cobalt

Publications (2)

Publication Number Publication Date
KR20170128123A KR20170128123A (ko) 2017-11-22
KR102394249B1 true KR102394249B1 (ko) 2022-05-03

Family

ID=60295310

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170058543A KR102394249B1 (ko) 2016-05-13 2017-05-11 코발트에 대한 망간 배리어 층 및 접착 층

Country Status (4)

Country Link
US (1) US10438847B2 (ko)
KR (1) KR102394249B1 (ko)
CN (1) CN107424955B (ko)
TW (1) TW201820536A (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108315717A (zh) * 2018-01-24 2018-07-24 复旦大学 一种氮化锰薄膜的制备方法
KR20200124351A (ko) * 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
US11004736B2 (en) * 2019-07-19 2021-05-11 International Business Machines Corporation Integrated circuit having a single damascene wiring network
CN110804731B (zh) * 2019-11-04 2020-11-06 江南大学 一种原子层沉积技术生长MnxN薄膜的方法
WO2022170169A1 (en) 2021-02-08 2022-08-11 Macdermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation
KR102522160B1 (ko) 2021-06-22 2023-04-14 포항공과대학교 산학협력단 광학적 관측을 통한 입자의 분석 방법 및 분석 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150179579A1 (en) * 2013-12-20 2015-06-25 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20150270133A1 (en) * 2014-03-19 2015-09-24 Applied Materials, Inc. Electrochemical plating methods

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194315B1 (en) * 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
KR101558428B1 (ko) * 2009-03-03 2015-10-20 삼성전자주식회사 반도체 장치의 형성 방법
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8610281B1 (en) * 2012-10-02 2013-12-17 Global Foundries Inc. Double-sided semiconductor structure using through-silicon vias
US8907483B2 (en) * 2012-10-10 2014-12-09 Globalfoundries Inc. Semiconductor device having a self-forming barrier layer at via bottom
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8673779B1 (en) * 2013-02-27 2014-03-18 Lam Research Corporation Interconnect with self-formed barrier
TW201444021A (zh) * 2013-05-10 2014-11-16 Univ Nat Cheng Kung 銅/銅錳合金阻障層
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
US9373542B2 (en) * 2013-11-15 2016-06-21 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with improved contact structures
US20150228585A1 (en) * 2014-02-10 2015-08-13 Globalfoundries Inc. Self-forming barrier integrated with self-aligned cap
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
KR102398920B1 (ko) * 2014-04-07 2022-05-17 엔테그리스, 아이엔씨. 코발트 cvd
WO2016011352A1 (en) * 2014-07-17 2016-01-21 Applied Materials, Inc. Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US20170040257A1 (en) * 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9716065B2 (en) * 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9613856B1 (en) * 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) * 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9905460B2 (en) * 2015-11-05 2018-02-27 Globalfoundries Inc. Methods of self-forming barrier formation in metal interconnection applications
US10446496B2 (en) * 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10128151B2 (en) * 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150179579A1 (en) * 2013-12-20 2015-06-25 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20150270133A1 (en) * 2014-03-19 2015-09-24 Applied Materials, Inc. Electrochemical plating methods

Also Published As

Publication number Publication date
CN107424955A (zh) 2017-12-01
CN107424955B (zh) 2021-03-02
US10438847B2 (en) 2019-10-08
KR20170128123A (ko) 2017-11-22
TW201820536A (zh) 2018-06-01
US20170330797A1 (en) 2017-11-16

Similar Documents

Publication Publication Date Title
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
US10580654B2 (en) Feature fill with multi-stage nucleation inhibition
US9748137B2 (en) Method for void-free cobalt gap fill
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
KR102166792B1 (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US9349637B2 (en) Method for void-free cobalt gap fill
CN108461374B (zh) 用于远程等离子体处理的室调节
KR102185346B1 (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
KR20230127377A (ko) 몰리브덴을 함유하는 저 저항률 막들
KR20150128615A (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
KR20160039139A (ko) 핵생성 억제를 수반하는 피처 충진
KR20180025268A (ko) 금속들을 에칭하기 위한 연속 rf 플라즈마 및 펄싱된 rf 플라즈마
JP2023520675A (ja) 核形成阻害を伴うフィーチャ充填
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착
WO2023038905A1 (en) Process gas ramp during semiconductor processing
JP2023502512A (ja) 金属相互接続構造におけるドーピングプロセス
KR20240002965A (ko) 복합 배리어 층들
WO2023107970A1 (en) Feature fill with nucleation inhibition
CN115868002A (zh) 高纵横比3d nand架构中的钨字线填充

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant