CN107424955B - 用于钴的锰阻挡层和粘附层 - Google Patents

用于钴的锰阻挡层和粘附层 Download PDF

Info

Publication number
CN107424955B
CN107424955B CN201710337590.0A CN201710337590A CN107424955B CN 107424955 B CN107424955 B CN 107424955B CN 201710337590 A CN201710337590 A CN 201710337590A CN 107424955 B CN107424955 B CN 107424955B
Authority
CN
China
Prior art keywords
cobalt
substrate
feature
manganese
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710337590.0A
Other languages
English (en)
Other versions
CN107424955A (zh
Inventor
黎照健
罗郑硕
拉什纳·胡马雍
迈克尔·达内克
凯寒·阿比迪·阿施蒂尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107424955A publication Critical patent/CN107424955A/zh
Application granted granted Critical
Publication of CN107424955B publication Critical patent/CN107424955B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01025Manganese [Mn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及用于钴的锰阻挡层和粘附层。本发明提供了形成导电钴(Co)互连和Co特征的方法。所述方法包括在电介质上沉积含锰(Mn)的薄膜,随后在含Mn膜上沉积钴。含Mn膜可以沉积在诸如二氧化硅之类的含硅电介质上,并且退火以形成硅酸锰。

Description

用于钴的锰阻挡层和粘附层
技术领域
本发明总体上涉及半导体制造领域,具体涉及用于钴的锰阻挡层和粘附层。
背景技术
半导体器件制造通常涉及用于前端制程(FEOL)、中间制程(MOL)和后端制程(BEOL)应用(例如源极和漏极触点以及逻辑互连)的导电材料的沉积。例如,含钨材料可以用于相邻的金属层之间的水平互连、通孔、以及硅衬底上的第一金属层与器件之间的触点。铜是另一种常用的导电材料。然而,随着器件收缩,特征变得更窄并且深宽比增大,导致在使用这些导电材料时的挑战。
例如,铜互连正在挑战制造超过7nm的技术节点。铜互连的沉积通常包括首先沉积阻挡层。保持其厚度在2.5nm以下的完整性的铜阻挡材料尚未确定。随着线宽达到10nm(在5nm的技术节点),阻挡层将消耗5nm的线宽和超过50%的线路横截面,从而对于超过10nm的每个技术节点,电阻按指数规律增加。结果,寻求替代材料来填充特征。
铜和钨的一种替代方法是钴。然而,对于诸如钴互连之类的应用,存在各种工艺集成挑战。
发明内容
本公开的一方面涉及一种方法,其包括:(a)提供具有包含特征开口的特征的衬底;(b)在所述特征中形成含锰衬里层;以及(c)在(b)之后,将所述衬底暴露于含钴前体以至少部分地用钴填充所述特征。在一些实施方式中,(c)包括用钴完全填充所述特征。
在一些实施方式中,所述方法还包括将所述衬底加热到至少400℃的温度以使所述钴退火。在一些这样的实施方式中,所述方法还包括在所述衬底的加热期间使至少一些锰与钴反应。
在一些实施方式中,(b)包括所述特征中的元素锰膜的原子层沉积(ALD)或化学气相沉积(CVD)。在一些这样的实施方式中,(b)还包括将所述衬底加热到至少350℃以使得所述元素锰膜能与含硅底层反应以形成硅酸锰层。在一些这样的实施方式中,所述元素锰膜的暴露部分不转化为硅酸锰。
在一些实施方式中,(b)包括所述特征中的氮化锰膜的原子层沉积(ALD)或化学气相沉积(CVD)。在一些这样的实施方式中,所述方法还包括将所述衬底加热到至少350℃以使得锰能与含硅底层反应,以形成硅酸锰层并使氮从所述衬底解吸。
在一些实施方式中,所述方法还包括在(b)之后且在(c)之前,将所述衬底暴露于氮物质以形成差分抑制曲线。在一些这样的实施方式中,所述方法还包括根据所述差分抑制曲线优先在所述一个或多个特征中沉积钴。
在一些实施方式中,(c)包括沉积用于随后的Co镀覆工艺的钴籽晶层。
本公开的另一方面涉及一种方法,其包括:(a)提供具有特征的衬底,该特征包含特征开口并具有含电介质硅的侧壁;(b)在所述特征中形成元素锰层,其中所述元素锰层与所述特征是共形的;(c)在(b)之后,将所述元素锰层的一部分转化为硅酸锰层,使得所述元素锰层的暴露部分能保持不转化;(d)用钴填充所述特征;以及(e)在钴和所述硅酸锰层的界面处形成钴-锰合金。
具体而言,本发明的一些方面可以阐述如下:
1.一种方法,其包括:
(a)提供具有包含特征开口的特征的衬底;
(b)在所述特征中形成含锰衬里层;以及
(c)在(b)之后,将所述衬底暴露于含钴前体以至少部分地用钴填充所述特征。
2.根据条款1所述的方法,其中(c)包括用钴完全填充所述特征。
3.根据条款2所述的方法,其还包括将所述衬底加热到至少400℃的温度以使所述钴退火。
4.根据条款3所述的方法,其还包括在所述衬底的加热期间使至少一些锰与钴反应。
5.根据条款3所述的方法,其还包括在所述衬底的加热期间使至少一些所述锰与钴合金化。
6.根据条款1所述的方法,其中(b)包括所述特征中的元素锰膜的原子层沉积(ALD)或化学气相沉积(CVD)。
7.根据条款6所述的方法,其中(b)还包括将所述衬底加热到至少350℃以使得所述元素锰膜能与含硅底层反应以形成硅酸锰层。
8.根据条款7所述的方法,其中所述元素锰膜的暴露部分不转化为硅酸锰。
9.根据条款1所述的方法,其中(b)包括所述特征中的氮化锰膜的原子层沉积(ALD)或化学气相沉积(CVD)。
10.根据条款9所述的方法,其还包括将所述衬底加热到至少350℃以使得锰能与含硅底层反应,以形成硅酸锰层并使氮从所述衬底解吸。
11.根据条款1所述的方法,其还包括在(b)之后且在(c)之前,将所述衬底暴露于氮物质以形成差分抑制曲线。
12.根据条款11所述的方法,其还包括根据所述差分抑制曲线优先在所述一个或多个特征中沉积钴。
13.根据条款1所述的方法,其中(c)包括沉积用于随后的Co镀覆工艺的钴籽晶层。
14.一种方法,其包括:
(a)提供具有特征的衬底,该特征包含特征开口并具有含电介质硅的侧壁;
(b)在所述特征中形成元素锰层,其中所述元素锰层与所述特征是共形的;
(c)在(b)之后,将所述元素锰层的一部分转化为硅酸锰层,使得所述元素锰层的暴露部分能保持不转化;
(d)用钴填充所述特征;以及
(e)在钴和所述硅酸锰层的界面处形成钴-锰合金。
下文参考附图进一步讨论这些和其他方面。
附图说明
图1是根据所公开的实施方式的用于执行方法的操作的工艺流程图。
图2A-2C是示出参考图1描述的方法的示例中的某些操作的示意性横截面图。
图3-5显示了在SiO2上的Mn/Co金属叠层的XPS剖析:图3使用退火,图4没有退火,图5没有脱气、H2等离子体、也没有退火。
图6示出了在Co退火之前在含Mn层上的Co的图像。
图7示出了Co退火后在含Mn层上的Co的图像。
图8A提供了描述布置用于实现本文所述的某些方法的各种反应器部件的简单框图。
图8B示出了根据某些实施方式的用于处理半导体衬底的装置的示意图。
图9示出了可以根据某些实施方式使用的多站处理工具的实施方式的示意图。
具体实施方式
在下面的说明中,记载了大量具体细节以提供对呈现的实施方式的彻底理解。公开的实施方式可以在没有这些具体细节中的一些或者所有的情况下付诸实践。在其他实例中,没有具体说明公知的处理操作,以免不必要地使所公开的实施方式不清楚。尽管将结合具体实施方式来说明公开的实施方式,但要理解的是这不意图限制所公开的实施方式。
在半导体制造中,特征可以填充有导电材料。例如,钨经常填充在特征中来形成触点(诸如在前端制程(FEOL)应用中)。然而,随着器件缩小,深宽比增大,并且更小的特征被用于形成触点。在很多应用中,替代的导电材料(诸如钴)可以被用于形成触点或者填充特征。
常规的半导体制造中的钴沉积包含湿法沉积工艺,例如电镀和无电镀。在钴电镀中,一些厚度的金属首先沉积在特征中,使得金属充分导电,这使得电流能让金属在电镀或无电镀处理中在特征中生长。这样的晶种层可以具有某一最大电阻。湿法基钴特征填充处理(诸如电镀)典型地涉及在与晶种层的沉积工具不同的工具中的特征填充,这增加了处理的复杂性和制造成本。
本文描述的主题的一个方面涉及形成导电钴(Co)互连和其它特征的方法。所述方法包括在电介质上沉积薄的含锰(Mn)膜,随后在含Mn膜上沉积钴。图1是根据所公开的实施方式的用于执行方法的操作的工艺流程图。图2A-2C是示出在参考图1描述的方法的示例中的某些操作的示意性横截面图。在操作102中,提供具有要填充的特征的衬底。衬底可以是硅衬底或另一合适的半导体衬底。特征可以在半导体层、绝缘体层或导电层中。衬底可以包括多于一个的特征,并且可以包括具有各种尺寸的特征或具有一种尺寸的特征的特征图案。为了本说明书的目的,在填充单个特征的背景中讨论图1,但是应当理解,也可以类似地填充各种尺寸的特征。
图2A是衬底202中这样的特征200的示例的示意图。衬底可以是硅晶片(例如200-mm晶片、300-mm晶片、450-mm晶片),包含上面沉积有一个或多个材料层(诸如电介质材料、导电材料、或者半导电材料)的晶片。特征可以通过窄和/或内凹的开口、特征内的收缩、以及高深宽比中的一个或一个以上表征。在一些实施方式中,特征200可以具有的深宽比为至少约2:1,至少约10:1,至少约15:1,至少约20:1或更高。特征孔205表示待填充的开放空间并还可以具有少于约19nm的开口附近(例如开口直径或者线宽、或者开口宽度、或者关键尺寸)的尺寸,另外已知特征宽度少于1×nm。特征200能够被称作未填充的特征或者仅仅称作特征。该特征和任何特征通过穿过特征的长度延伸的轴线部分地表征,其中竖直取向的特征具有竖直轴线,而水平取向的特征具有水平轴线。该特征可以由底部和电介质侧壁来表征,该底部是硅、金属或者其他材料。
如图所示,衬底202包含特征200,其具有比特征的底部的宽度窄的特征开口210。因此,图2A中的特征200包含内凹的轮廓。内凹的轮廓是如下轮廓:从底部、封闭端、或者特征的内部到特征开口变窄。根据多种实施方式,轮廓可以逐步变窄和/或在特征开口处包含突出部。图2A所示的内凹的图案可以在图案化和/或由于先前的膜沉积中的非共形膜台阶覆盖导致的突出部期间,由非对称蚀刻动能产生。在各种示例中,特征可以在特征的顶部的开口处具有比特征的底部的宽度小的宽度。
返回到图1,在操作104中,在该特征中形成含Mn膜。根据各种实施方式,含Mn膜可以是与特征共形(conform)的薄层。图2B是共形地沉积到特征200中的含Mn层204的示意图。可以通过ALD或CVD工艺,通过使Mn金属-有机前体与还原剂如H2或NH3反应,进行Mn沉积。Mn前体的示例包括双(N,N'-二叔丁基乙脒)锰(II)(manganese(II)bis(N,N’-di-tert-butylacetamidinate))、双[1-(叔丁基酰胺)-2-二甲基氨基乙烷-N,N']锰(II)和双(N,N'-二异丙基戊脒)锰(II)。
在CVD方法中,将衬底暴露于合适的含Mn前体和还原剂以在衬底上形成Mn层。在ALD方法中,衬底可以循环暴露,使得衬底首先暴露于合适的含Mn前体的脉冲,然后清除前体,接着将衬底暴露于还原剂的脉冲,以及然后清除还原剂,并且可以重复这样的循环,直到在衬底上形成期望的Mn厚度。ALD方法可用于高的深宽比和/或窄特征以促进共形沉积。在一些实施方式中,沉积温度可以为250℃或250℃以下。
在一些实施方式中,在元素Mn的ALD或CVD沉积之后,进行退火处理以形成硅酸锰层,并且在一些情况下,为氧化锰。例如,可以进行约350℃至500℃的退火处理。该Mn退火可以在沉积Mn层之后或在稍后的Co退火期间进行。在一些实施方式中,沉积温度可以足够高,使得在沉积期间形成硅酸锰并且不执行附加的退火。
可以在具有电介质(例如SiO2)侧壁的特征中形成含Mn膜。在一些实施方式中,通过SiO2的Mn还原,将在SiO2表面的顶部上形成MnOx和/或MnSiyOz(x、y和z是大于零的可以形成的任何整数或非整数)。在一些实施方式中,含Mn膜可以沉积至小于20埃、小于10埃的厚度。如下所述,由于其独特的性质,即使薄的Mn层也可以为Co提供良好的粘合性和阻隔性。含Mn膜可以包括元素Mn以及二元或三元化合物,如MnOx和MnSiyOz。MnOx用于表示锰氧化物的混合物或氧化锰。Mn(II)形成MnO;Mn(III)形成可以表示为MnO1.5的Mn2O3,Mn(IV)形成MnO3等。氧化锰层是指包含氧化锰或多种氧化物的混合物的层。MnSiyOz用于表示硅酸锰或硅酸锰的混合物。Mn(II)形成MnSiO3。硅酸锰层是指包括硅酸锰或多种硅酸锰的混合物的层。
在一些实施方式中,控制沉积和/或随后的退火,使得未转化的少量的纯Mn(例如2至3个单层)保留在MnSixOy的顶部。这种未转化的Mn可以与Co形成合金并作为粘附层。
在操作105中,任选地用氮处理含Mn膜。该操作在下面进一步讨论,并且可以进行以抑制特征顶部的Co成核。因此,该处理可以优先应用于特征的顶部。
在操作106中,通过一个或多个PVD、ALD、CVD或电镀工艺用Co填充该特征。例如,在一些实施方式中,薄的Co籽晶层可以通过ALD沉积。然后可以通过CVD用主体Co填充籽晶层。替代地,Co可以镀在Co籽晶层上。图2C示出了包括填充有Co 206的Mn衬里层204的特征的示例。
在CVD方法中,衬底暴露至适当的含钴前体和还原剂,以在衬底上形成钴层。在一些实施方式中,温度可以在约70℃与约400℃之间,或者约80℃与约200℃之间。在一些实施方式中,温度可以在约70℃与约200℃之间,或者在约100℃与约120℃之间。室压强可以是约0.1托(Torr)至约10Torr,或者在约1Torr与约30Torr之间。在一些实施方式中,室压可以在约0.5Torr与约10Torr之间,或者在约1Torr与约3Torr之间。在各种实施方式中,适当的含钴前体和/或还原剂被导入使用载体气体的室,载体气体诸如是氩(Ar)、氮(N2)、或者一氧化碳(CO)。在一些实施方式中,使用氩作为载体气体将含钴前体导入室。载体气体的流率可以在约10sccm与约300sccm之间,或者约10sccm与约50sccm之间。在一些实施方式中,载体气体的流率可以在约10sccm与约100sccm之间,或者约10sccm与约30sccm之间。还原剂可以是任何适当的还原所选择的含钴前体的反应物。在各种实施方式中,还原剂是氢(H2)。还原剂可以以约100sccm与约5000sccm之间,或者约2000sccm与约5000sccm之间的流率导入。应当理解,根据特定沉积室,可以使用遍及本公开提供的范围外的流率。
在ALD方法中,衬底可以循环暴露,使得衬底首先暴露至适当的含钴前体的脉冲,然后清洗前体,然后衬底暴露至还原剂的脉冲,进而清洗还原剂,并且可以重复这样的循环,直至期望厚度的钴形成在衬底上。对于通过ALD的沉积处理而言,温度可以在约70℃与约400℃之间,或者在约100℃与约200℃之间。在一些实施方式中,温度可以在约70℃与约200℃之间,或者在约100℃与约120℃之间。压强可以在约1Torr与约30Torr之间,或者在约8Torr与约15Torr之间。在各种实施方式中,含钴前体和/或还原剂被导入使用载体气体的室,载体气体诸如Ar、N2、或者CO。在一些实施方式中,使用Ar作为载体气体将含钴前体导入室。载体气体的流率可以在约10sccm与约300sccm之间,或者约10sccm与约100sccm之间。在一些实施方式中,载体气体的流率可以在约50sccm与约100sccm之间。还原剂可以是任何对还原所选择的含钴前体适当的反应物。在各种实施方式中,还原剂是H2。还原剂可以以约100sccm与约5000sccm之间,或者约2000sccm与约5000sccm之间的流率导入。操作206终止的时间取决于特征的尺寸。
示例的含钴前体包含二羰基环戊二烯钴(I)、羰基钴、各种脒基钴前体、二氮杂二烯钴配合物、脒基/胍基钴前体和其组合。适当的含钴前体可以包含具有有机基团和/或羰基基团的钴中心,其中有机基团包含烷基,诸如甲基、乙基、丙基、丁基、戊基、己基、庚基与辛基,其可以是直链烃链或者支链烃链。在一些实施方式中,有机金属化合物具有经取代或者未经取代的烯丙基配体。在一些实施方式中,烯丙基配体是未经取代的。
在一些实施方式中,使用具有下面的结构的有机金属钴化合物:
Figure BDA0001294260690000091
其中R1是C1-C8-烷基,R2是C1-C8烷基,x是0、1或者2;并且y是0
或者1。
在一些实施方式中R1是C2-C8烷基,R2独立地为C2-C8烷基。
本文使用的术语“烷基”是指长度为1至8个原子的饱和烃链,诸如甲基、乙基、丙基、丁基、戊基、己基、庚基与辛基。术语“烷基”包含直链烃链和支链烃链这两者。因此,术语丙基包含正丙基和异丙基两者。术语丁基包含正丁基、仲丁基、异丁基和叔丁基。
在一些实施方式中,x是0且y是1。依据该实施方式的有机金属化合物的示例如下文所示:
Figure BDA0001294260690000092
某些所说明的化合物可来自马萨诸塞州的Haverhill的SAFC-Hitech,结合来自加州Fremont的Lam Research Inc.的对应的沉积装置。
在一些实施方式中,含钴前体包含在低温(诸如低于约100℃的温度)具有高蒸气压强的金属有机物前体。示例的蒸气压强在约30℃的环境下可以约为0.5Torr。
根据多种实施方式,可以根据美国专利公开No.20160056077进行Co沉积工艺,该专利通过引用并入本文。Co填充也可以通过从Co靶溅射或通过电镀进行。
在一些实施方式中,可以在薄的Co籽晶层形成之后、在Co填充之后,或者在两者之后,使衬底退火。使衬底退火可以降低Co电阻率,并且在高温下有助于形成Co和Mn的合金(或其它化合物形成)。在一些实施方式中,退火可以在约250℃和约500℃之间的温度下进行。为了形成Co和Mn的合金,可以使用更高的温度,例如约600℃。在一些实施方式中,可以使用低于合金化温度的温度来使Mn和Co反应。退火的持续时间可以取决于用于加热衬底的加热方法的类型。示例的技术包括辐射加热、激光加热、热加热和电磁辐射加热。在一些实施方式中,可以进行退火,使得退火时间尽可能快地进行。在一些实施方式中,加热可以在1秒至30分钟之间的持续时间内进行。
在一些实施方式中,可以在图1的操作104中形成氮化锰(MnN,其可以包括任何合适的化学计量比,包括Mn3N2)。例如,如果后续操作涉及暴露于空气,则可以使用氮化锰。暴露在空气中会导致刚沉积的纯Mn膜的快速氧化,从而防止形成硅酸锰。通过形成MnN层,可以防止氧化。用以形成硅酸锰的退火将从表面脱氮。MnN可以使用含Mn前体和含氮反应物(例如在ALD或CVD工艺中的氨(NH3))作为操作104的一部分来形成。替代地,ALD或CVD沉积元素Mn,随后退火以形成硅酸锰层,这可以在没有空气暴露的情况下在真空下进行。然后可以在真空下转移衬底以进行钴填充。在SiO2上沉积MnN,然后通过退火形成硅酸盐阻挡层的描述是在Au,Yeung,Youbo Lin,and Roy G.Gordon.2011.Filling narrow trenches byiodine-catalyzed CVD of copper and manganese on manganese nitride barrier/adhesion layers.Journal of the Electrochemical Society 158(5):D248-D253中,其通过引用并入本文。
根据多种实施方式,该方法可以用于逻辑互连(MOL和BEOL)、金属栅极应用以及涉及Co金属化的其它应用。上面关于图1描述的将Mn并入Co金属化方案(例如源极/漏极触点填充或局部互连)中的方法解决了通过使用Co来增强器件性能所呈现的各种集成挑战。
所述挑战包括以下挑战:1)Co由于其独特的氧化还原性质而可以容易地被氧化;2)Co不能很好地粘附到电介质表面(例如SiO2和Si3N4)以及到被氧化的金属衬底上;3)Co可以扩散到某些电介质中并引起半导体器件上的时间依赖性介电击穿(TDDB)问题;4)对于电阻率降低,Co膜可以在沉积后退火至约400℃。Co在退火期间经历相变,导致膜内的大的应力滞后的形成。如果与衬底的粘合不充分,则后者会导致膜分层;以及5)在器件制造期间,随后的金属化/隔离序列中重复与沉积后退火的高温循环类似的高温循环,并且可能导致Co膜的可靠性问题。
使用薄的Mn层(小于20埃,在某些情况下,小于10埃)作为阻挡层和粘附层克服了这些集成障碍。首先,因为Co和Mn形成不同的合金,在一些实施方式中,相比于Co与其它可能的衬里金属的粘附,Co与Mn粘附得较好。此外,由于其与不同类型的二氧化硅的反应性,因此Mn具有独特的自形成阻挡性。因此,其为SiO2衬底上的Co提供了薄的阻挡层和粘附层。因此,大多数电子传导通过较低的电阻Co金属发生。
在一些实施方式中,通过暴露于可以以等离子体形式提供的N物质处理含Mn膜。Mn与活性N物质(N自由基或N离子)反应形成MnN,对于Co的成核和生长,MnN表现与Mn不同。在使用直接或远程N2等离子体的情况下,例如,处理含Mn的层的表面(例如,特征的顶部可以比特征的底部优先处理)可以被不同地处理,以选择性地抑制Co的生长。这可以有助于的自底向上的生长方法,该方法用于在高级逻辑(例如源极/漏极触点和金属栅极)或存储器(3DNAND字线)应用中通常遇到的高深宽比结构的无空隙填充。反应性N处理可以用N2等离子体进行。在Mn膜形成之后,N掺入到Mn膜中的替代方式是在具有或不具有等离子体的情况下使用NH3
在一些实施方式中,通过暴露至从含氮气体产生的等离子体,处理在特征的顶部和附近的Mn表面。该处理可以称作“抑制剂控制暴露”(ICE)或者“基于等离子体的表面氮化”。在一些实施方式中,远程等离子体用于产生等离子体。在多种实施方式中,等离子体是定向等离子体,使得来自等离子体的活性物质在垂直于衬底的平面的方向直接触特征的表面。在一些实施方式中,经处理的表面可以形成MnNx,其在接下来的在含Mn膜上的Co籽晶层沉积或Co主体沉积触发长的成核延迟。一些MnNx是不稳定的,从而使得氮化的含Mn膜能成为Co成核的暂时性抑制剂。
由于各种特征可以具有比特征的底部的宽度窄的开口,因此,在一些实施方式中,等离子体主要处理特征的顶表面、与特征侧壁的顶部约10%至约50%。在一些实施方式中,等离子体处理特征侧壁的顶部约10%至约30%。在涉及小特征的各种实施方式中,由于开口狭窄,因此侧壁的底部约50%至90%未被处理。在一些实施方式中,侧壁的底部约70%至90%未被处理。在一些实施方式中,当活性物质撞击特征开口时,氮离子碰撞开口周围,在特征的顶部附近留下小梯度的经处理的Mn表面。由于经处理的表面展现较长的成核延迟,因此钴成核在经处理的表面上被选择性抑制,使得沿着由处理形成的特征轴线有差分抑制曲线。还可以称作选择性钝化、差分抑制、或者差分钝化的选择性抑制涉及抑制在一部分特征上的接下来的钴成核,而在特征的剩余部分上不抑制成核(或者将成核抑制为较低程度)。例如,在一些实施方式中,在特征开口或者其附近(例如特征侧壁的顶部约10%至约50%,或者约10%至约30%以及特征的顶表面)的特征被选择性抑制,而特征内的侧壁的约70%至90%、或者约50%至90%的底部中的成核不被抑制。
定向等离子体可以产生形成差分抑制曲线的离子、中性物质和自由基以及其他物质。对于定向等离子体,所产生的离子可能是抑制工艺中的主要物质。
在一些实施方式中,可以使用远程等离子体。除了中性物质和自由基以及其他物质之外,远程等离子体还可能产生离子。然而,与定向原位等离子体相比,远程等离子体可能产生很少的离子至不产生离子,并且抑制工艺中的优势物质可以是自由基而不是离子。在多种实施方式中,远程等离子体可以更温和,使得对衬底的部件的损伤减小(例如,在衬底上几乎没有等离子体物质的轰击)。这可以特别地在制造逻辑器件时使用,该逻辑器件在被原位或定向等离子体损坏时可能更容易受到性能问题的影响。由等离子体引起的损坏可能会降低器件的功能。例如,为了制造晶体管,诸如在金属栅极区域中的晶体管的部件的等离子体损坏可能导致电压偏移或电效率低下。
在使用远程等离子体的情况下,衬底温度可以设定在约30℃至约450℃之间的温度。在多种实施方式中,室压强可以设定在约0.001托和约10托之间的压强。RF功率可以在大约50W和10000W之间。在多种实施方式中,含氮气体流动到远程等离子体发生器以产生氮基等离子体。含氮气体可以是上面描述的那些中的任一种。在一些实施方式中,含氮气体是氮气(N2)。氮气流速可以在约5sccm至约10000sccm之间。衬底可以暴露于从远程等离子体发生器产生的氮基等离子体持续介于约1秒至约200秒之间的持续时间。
试验
在大于250℃的温度下,使用CVD方法将约30埃的MnN沉积到热SiO2衬底上。通过退火形成硅酸盐。在300℃进行脱气。将样品转移到外部等离子体处理室中,其中在空气暴露期间形成的任何MnOx被远程H2等离子体还原。然后将样品在真空中转移到Co沉积室中,在该沉积室中,通过PVD将约90埃的Co沉积到Mn表面上。然后将最终样品在真空中转移到退火室中,在该退火室中将其在H2/He环境中在400℃退火10分钟。还没有后续的Co沉积退火作为参考的情况下,制备了第二样品。在没有脱气、没有H2还原等离子体、并且没有退火的情况下制备第三样品。
进行XPS剖析分析以检查Co/Mn/SiO2的界面。
图3-5示出了SiO2上的Mn/Co金属叠层的XPS剖析图:图3带有退火,图4没有退火,图5没有脱气、没有H2等离子体,也没有退火。结果表明,Mn与SiO2反应以形成稳定的MnSiyOz层。即使在400℃退火之后,Mn也几乎不扩散到Co层中,如图3中MnSiyOz层的顶表面处的Si和Mn信号的重叠所证明的。该曲线也类似于在图4和图5中刚沉积的样品的曲线,它们没有看到任何退火。该层在脱气和H2等离子体中也是稳定的,如通过图3以及图4与图5比较所示出的。
对于所有样品,Co很少或不会越过底部MnSiyOz阻挡层渗透到SiO2中。结果表明,Mn在SiO2上针对Co扩散形成良好的屏障。此外,Mn不会浸入和扩散到Co层,这表明MnSiyOz层在400℃下是稳定的。这是重要的,因为Co退火使得晶粒能生长,使膜致密化并降低电阻率。图6表示退火前的含Mn层上的Co的图像,图7表示退火后的含Mn层上的Co的图像。通过比较图像可以看出,退火使膜致密化。
装置
可以使用任何合适的室来实现所公开的实施方式。在一些实施方式中,在沉积钴期间可以不使用等离子体。沉积装置的实例包括各种系统,例如可得自加利福尼亚州弗里蒙特市的Lam Research Corporation的ALTUS和ALTUS Max,或各种其他市售的处理系统中的任何一种。
本文提供的沉积技术也可以在等离子体增强的化学气相沉积(PECVD)室、或者共形膜沉积(CFD)室、或者在一些实施方式中在ALD室中实现。合适的室可以采取许多形式,可以是包含一个或多个室或者反应器(有时包含多个站)的装置的一部分,每个室或者反应器(站)可以容纳一个或多个衬底或者晶片并可以被配置为执行各种衬底处理操作。一个或多个室可以将衬底维持在限定的一个或多个位置(无论在该位置内是否有运动,例如旋转、振动、或者其他搅动)。在一个实施方式中,经历了膜沉积的衬底可以在处理期间从室内的一个站传输到另一个。在其他实施方式中,衬底可以在装置内从室传输到室以执行不同的操作,诸如蚀刻操作或者光刻操作。对任何沉积步骤,全膜沉积可以完全在单个站进行或者进行总膜厚度的任何一部分。在处理中,每个衬底可以被底座、衬底卡盘和/或其他衬底保持装置保持在合适位置。对于要加热衬底的某些操作而言,装置可以包含加热器(诸如加热板)。
图8A提供了绘出用于实现本文说明的一些方法布置的各种反应器组件的简单框图。如图所示,反应器500包含处理室524,该处理室524封入反应器的其他组件并用来容纳由电容放电型系统产生的等离子体,该系统包含结合接地加热器框520工作的喷头514。高频(HF)射频(RF)产生器504和低频(LF)RF产生器502可以连接至匹配网络506和喷头514。由匹配网络506供应的功率和频率可以足以从供应至处理室524的处理气体产生等离子体。例如,匹配网络506可以提供100W至1000W的功率。在一些示例中,可以提供匹配网络506。在典型的处理中,HFRF组件通常可以在1MHz至100MHz之间,例如13.56MHz。在操作中,当有LF组件时,LF组件可以小于约1MHz,例如100kHz。
在反应器内,底座518可以支持衬底516。底座518可以包含卡盘、叉、或者升降销(未示出),以在沉积和/或等离子体处理反应期间和之间保持并传输衬底。卡盘可以是静电卡盘、机械卡盘、或者可用于产业和/或研究的各种其他类型的卡盘。
各种处理气体可以经由入口512导入。多个源气体线510连接至歧管508。气体可以预混合或者不混合。可以采用适当的阀门与质量流量控制机构,以保证在处理的沉积和等离子体处理阶段期间输送了适当的处理气体。在化学前体以液体形式输送的情况下,可以采用液体流控制机构。然后在到达沉积室之前加热到以液体形式供应的化学前体的汽化点之上的歧管中传输期间,这样的液体可以蒸发并与处理气体混合。
处理气体(诸如含Mn前体、含钴前体或者含N气体)可以经由出口522输出室524。真空泵(例如一级或二级机械干燥泵和/或涡轮分子泵540)可以被用于通过使用闭环控制的流限制设备(诸如节流阀或者摆阀),从处理室524抽取处理气体并维持处理室524内的适当低压。
如上所述,用于本文说明的沉积的技术可以在多站或者单站工具中实现。图6是这样的工具的示例的示意图。在特定实施方式中,可以使用具有4站式沉积方案的300mm的LamVectorTM工具、或者具有6站式沉积方案的200mm SequelTM工具。在一些实施方式中,可以使用用于处理450mm衬底的工具。在各种实施方式中,如果蚀刻室或者站也是相同工具的一部分,则衬底可以在每个沉积和/或后沉积等离子体处理之后换位,或者可以在蚀刻步骤之后换位,或者在将衬底换位之前可以在单站进行多个沉积和处理。
在一些实施方式中,装置可以提供为被配置为执行本文说明的技术。适当的装置可以包含依据公开的实施方式的用于执行各种处理操作的硬件、以及具有用于控制处理操作的指令的系统控制器530。系统控制器530典型地会包含一个或多个存储器设备、和可通信地与各种处理控制装置(例如阀、RF产生器、衬底处理系统等)连接的一个或多个处理器,并配置为执行指令,以便装置将执行依据公开的实施方式的技术,例如诸如图1的沉积步骤中提供的技术。含有用于依据本公开来控制处理操作的指令的机器可读介质可以耦接至系统控制器530。控制器530可以可通信地与各种硬件设备(例如质量流量控制器、阀、RF产生器、真空泵等)连接,以便于控制与如本文说明的沉积操作关联的各种处理参数。
在一些实施方式中,系统控制器530可以控制反应器500的所有活动。系统控制器530可以执行存储在海量存储设备中,载入存储器设备并在处理器上执行的系统控制软件。系统控制软件可以包含用于控制气流的定时、衬底移动、RF产生器激活等的指令,以及用于控制气体的混合、室和/或站压强、室和/或站温度、衬底温度、目标功率电平、RF功率电平、衬底底座、卡盘、和/或基座位置和由反应器装置500执行的特定处理的其他参数的指令。例如,软件可以包含用于控制含Mn前体的流率、含钴前体的流率、还原剂的流率、含氮气体的流率、以及暴露于上述说明的流动化学品中的每个的次数的指令或者代码。系统控制软件可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入进行各种处理工具处理所需要的控制处理工具组件的操作。系统控制软件可以以任何适当的计算机可读编程语言编码。
系统控制器530可以典型地包含一个或多个存储器设备、和配置为执行指令的一个或多个处理器,以便装置将执行依据本公开所述的技术。含有用于控制依据公开的实施方式的处理操作的指令的机器可读介质可以耦接至系统控制器530。
图8B示出了根据某些实施方式的用于处理半导体衬底的装置500B的示意图。装置500B包括具有基座520B、喷头514B和可选的原位等离子体发生器516B的室518B。装置500B还包括系统控制器522B,用于接收输入和/或将控制信号提供给各种装置。该装置还包括可通过喷头514B输送到室512B的其他气体。
含氮气体以及在某些实施方式中,诸如氩气、氦气等的惰性气体从可以是储罐的源502B提供给远程等离子体发生器506B。任何合适的远程等离子体发生器可以在将含氮气体引入室518B之前用于激励含氮气体。例如,可能使用远程等离子体清洁(RPC)单元,例如
Figure BDA0001294260690000171
i Type AX7670、
Figure BDA0001294260690000172
e Type AX7680、
Figure BDA0001294260690000173
ex TypeAX7685、
Figure BDA0001294260690000174
hf-s Type AX7645,它们都可从马萨诸塞州安多弗的MKSInstruments获得。RPC单元通常是使用所提供的含氮气体产生弱电离等离子体的独立设备。嵌入到RPC单元中的高功率RF发生器为等离子体中的电子提供能量。然后将该能量转移到中性蚀刻剂分子,导致约2000K的温度,从而引起这些分子的热解离。RPC单元由于其高的RF能量和特殊的通道几何形状而使得这些分子吸收大部分能量,从而解离进入的分子的60%以上。在多种实施方式中,远程等离子体发生器可以使用介于约50W至约10000W之间的射频(RF)等离子体功率产生等离子体。
在某些实施方式中,基于氮的等离子体从远程等离子体发生器506B通过连接线508B流入室518B,其中混合物通过喷头514B分配。在其他实施方式中,基于氮的等离子体完全绕过远程等离子体发生器506(例如,系统500B不包括这种发生器)直接流入室518B。替代地,远程等离子体发生器506B可以在使氮基等离子体流动到室518B的同时被关闭,例如因为不需要激活蚀刻剂。
在一些实施方式中,喷头514B或基座520B通常可以具有连接到其上的内部等离子体发生器516B。在一个示例中,发生器516B是能够在约1MHz和100MHz之间的频率下提供介于约0W和10,000W之间的高频(HF)发生器。在更具体的实施方式中,HF发生器可以在约13.56MHz下在约0W至5,000W之间输送。RF发生器516B可以产生原位等离子体以增强初始钨层的去除。在某些实施方式中,在该处理的移除操作期间不使用RF发生器516B。
室518B可以包括用于感测各种工艺参数的传感器524B,工艺参数如沉积和蚀刻的程度、浓度、压强、温度等。传感器524B可以在处理期间将关于室条件的信息提供给系统控制器522B。传感器524B的示例包括质量流量控制器、压力传感器、热电偶等。传感器524B还可以包括红外探测器或光学探测器,以监测室中气体的存在和控制措施。
沉积和选择性去除操作产生从室518B排出的各种挥发性物质。此外,在某些预定压强水平下,在室518B进行处理。例如,在一些实施方式中,室压强可以设定在约0.001托和约10托之间的压强。这些功能都可以使用真空出口526B来实现,真空出口526B可以是真空泵。
含Mn前体或含钴前体以及处理化学物质可以从喷头514B进入室,使得基座520B上的衬底在各种实施方式中暴露于前体或处理化学品。
在某些实施方式中,系统控制器522B可以包括上文关于图8A描述的系统控制器530的任何特征或功能,或者下面参照图9描述的控制650的任何特征和功能。
如上所述,一个或多个处理站可以包含在多站处理工具中。图9示出多站处理工具600的实施方式的概要视图,其具有入站加载锁602和出站加载锁604,其中的一个或者两个可以包括远程等离子体源。大气压下的机械手606被配置为将通过舱608装载的来自盒的衬底经由大气端口610移动到入站加载锁602内。衬底由机械手606放置在入站加载锁602中的底座612上,大气端口610被关闭且加载锁被抽空。当入站加载锁602包括远程等离子体源时,衬底可以在导入处理室614之前暴露至加载锁中的远程等离子体处理。此外,衬底也可以在入站加载锁602中加热,例如以去除湿气和吸附的气体。接下来,通向处理室614的室传输端口616被打开,并且另一个机械手(未示出)将衬底放在示出在反应器中用于处理的、第一站的底座上的反应器中。在图9中绘出的实施方式包含加载锁,但应该理解的是在一些实施方式中,可以使衬底直接进入处理站。
绘出的处理室614包括4个处理站,在图9所示的实施方式中编号从1至4。每个站具有加热的底座(对于站1示出为618)和气体线路入口。一些站可以包含与相对于图8A所述的部件类似的部件。应该理解的是在一些实施方式中,每个处理站可以具有不同的或者多个用途。例如,在一些实施方式中,处理站可以在ALD和CVD处理模式之间可切换。附加地或替代地,在一些实施方式中,处理室614可以包含一个或多个匹配的成对的ALD和CVD处理站。在一些实施方式中,含Mn膜可以在一个站(诸如站1)处使用ALD或者CVD以热方式(没有等离子体)沉积在特征内。衬底然后可以被传送至相同室614内的第二站(诸如站2),或者不同室中的站(其中衬底暴露至含氮气体和等离子体),随后暴露至含钴前体和还原剂,以通过ALD沉积Co籽晶层,以及通过CVD来沉积主体钴。在一些实施方式中,在含氮气流到室内时,等离子体暴露与还原剂交替。含氮气体和/或还原剂可以仅导入相关的衬底所处的站(诸如站2),或者可以被导入整个室614。
在各种实施方式中,衬底不传输到第二站。相反,衬底留在与热沉积期间相同的站(例如站1),但是站被配备为在热沉积之后还向站导入还原剂、含氮气体和等离子体。
在一些实施方式中,在衬底经历了Mn的热沉积之后,衬底被传送至还可以包含各种站的不同室。尽管绘出的处理室614包括4个站,但要理解的是根据本公开的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或以上的站,而在其他实施方式中,处理室可以具有3个或者更少的站。
图9绘出了在处理室614内用于传输晶片的晶片处理系统609的实施方式。在一些实施方式中,晶片处理系统609可以在各种处理站之间和/或在处理站与加载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片处理系统。非限制性示例包含晶片转盘、和晶片处理机械手。图9还绘出了采用来控制处理工具600的处理条件和硬件状态的系统控制器650的实施方式。系统控制器650可以包含一个或多个存储器设备656、一个或多个海量存储设备654和一个或多个处理器652。处理器652可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器650控制处理工具600的所有活动。系统控制器650执行存储在海量存储设备654、载入存储器设备656、并在处理器652执行的系统控制软件658。替代地,控制逻辑可以在控制器650中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论哪里使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来替换它。系统控制软件658可以包含如下指令,该指令用于控制定时、气体的混合、亚饱和的气流的量、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底底座、卡盘和/或基座位置、以及由处理工具600执行的特定处理的其他参数。系统控制软件658可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入进行各种处理工具处理所需要的控制处理工具组件的操作。系统控制软件658可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,系统控制软件658可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用与控制器650关联的、存储在海量存储设备654和/或存储器设备656的其他计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压强控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,处理工具组件被用于将衬底加载到底座618,并控制衬底与处理工具600的其他部分之间的间距。
处理气体控制程序可以包含用于如下操作的代码:控制气体组分(例如本文说明的含钴前体、还原剂与含氮气体)和流率,并且可选地用于在沉积之前使气体流入一个或多个处理站,以稳定处理站的压强。压强控制程序可以包含用于如下操作的代码:例如通过调节处理站的排放系统中的节流阀、流向处理站的气体等,来控制处理站中的压强。
在一些实施方案中,控制器650是系统的一部分,该系统的一部分可以是上述实施方式的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个加工工具、诸如室614之类的一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动系统等)。这些系统可与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型,控制器650可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制处理气体的输送、温度的设置(例如,加热和/或冷却)、压强的设置、真空的设置、功率的设置、射频(RF)产生器的设置、RF匹配电路的设置、频率的设置,流率的设置、流体输送的设置、位置和操作的设置、晶片的进出工具和其他输送工具和/或连接到特定系统的或与特定系统接口的装载锁的传送。
从广义上讲,控制器650可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。这些集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单个的设置(或程序文件)形式传输到控制器650或系统的指令,所述设置(或程序文件)定义在半导体晶片上或针对半导体晶片进行特定处理的操作参数。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。例如,参数可以包含含钴前体气流、还原剂气流、载体气体流、含氮气体流、等离子体功率和频率、底座温度、站或者室压强和/或温度和其他参数。
在一些实施方案中,控制器650可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器650可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程的参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器650接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的工艺类型以及工具类型,控制器650被配置成连接或控制该工具类型。因此,如上所述,控制器650可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所述的工艺和控制)工作。用于这些目的的分布式控制器650的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路,它们结合以控制室内工艺。
示例的系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器650可以与一个或多个其他的工具电路或模块、其他工具组件、诸如工具600之类的组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器650、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
加热器控制程序可以包含用于如下操作的代码:控制流向被用于加热衬底的加热单元的电流。替代地,加热器控制程序可以控制传热气体(诸如氦)向衬底的输送。
等离子体控制程序可以包含用于如下操作的代码:依据本文的实施方式设定施加到一个或多个处理站中的处理电极的RF功率电平。
压强控制程序可以包含用于如下操作的代码:依据本文的实施方式来维持反应室中的压强。
在一些实施方式中,可能有与系统控制器650关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及用户输入设备(诸如指向设备、键盘、触摸屏、麦克风等)。
在一些实施方式中,由控制器650调节的参数可以涉及处理条件。非限制性示例包含处理气体组合物和流率、温度、压强、等离子体条件(诸如RF偏置功率电平)、压强、温度等。这些参数可以以配方形式提供给用户,其可以利用用户界面输入。
用于监控处理的信号可以从各种处理工具传感器由控制器650的模拟和/或数字输入连接提供。用于控制处理的信号可以输出到处理工具600的模拟和数字输出连接。可以被监控的处理工具传感器的非限制性示例包含质量流量控制器、压强传感器(诸如压强计)、热电偶等。适当编程的反馈和控制算法可以使用来自这些传感器的数据以维持处理条件。
控制器650可以提供用于实现上述沉积处理的程序指令。程序指令可以控制各种处理参数,诸如直流功率电平、RF偏置功率电平、压强、温度等。指令可以根据本文说明的各种实施方式,控制参数来操作薄膜叠层的现场沉积。
控制器将典型地包含一个或多个存储器设备和一个或多个处理器,其被配置为执行指令,以便装置将依据本发明的实施方式来执行方法。机器可读介质含有用于控制依据本发明的处理操作的指令,并可以耦接至系统控制器。
例如对于制造或者生产半导体设备、显示器、LED、光伏板等而言,上文描述的装置/处理可以结合光刻图案化工具或者处理使用。典型地,但不一定,这样的工具/处理将在共同制造设施中一起使用或进行。膜的光刻图案化典型地包含一些或者所有下面的操作,每个操作启用多个可能的工具:(1)使用旋涂或者喷涂工具,在工件(即衬底上)施加光致抗蚀剂;(2)使用热板或者炉或者UV固化工具,将光致抗蚀剂固化;(3)用诸如晶片步进曝光机之类的工具,将光致抗蚀剂暴露至可见光或者UV光或者X射线光;(4)将抗蚀剂显影,以便选择性去除抗蚀剂,因而使用诸如湿式操作台之类的工具将其图案化;(5)通过使用干法或者等离子体辅助的蚀刻工具,将抗蚀剂图案转印到底层膜或者工件;以及(6)使用诸如RF或者微波等离子体抗蚀剂剥离器之类的工具来去除抗蚀剂。
结论
尽管出于清楚理解目的,已详细说明了上述实施方式,但可以知晓的是在添附的权利要求的范围内可以进行某些改变和修改。应该注意的是,有实现处理、系统、以及本实施方式的装置的很多替代方式。从而,本文的实施方式被认为是示例性的且非限制性的,实施方式不限于本文给出的细节。

Claims (9)

1.一种形成导电钴互连的方法,其包括:
(a)提供具有特征的衬底,所述特征包含特征开口;
(b)在所述特征中形成氮化锰衬里层并将所述衬底加热到至少350℃以使锰与含硅底层反应以形成硅酸锰层并使氮从所述衬底解吸以形成MnSiyOz,其中y和z大于零;以及
(c)在(b)之后,将所述衬底暴露于含钴前体以至少部分地用钴填充所述特征。
2.根据权利要求1所述的形成导电钴互连的方法,其中(c)包括用钴完全填充所述特征。
3.根据权利要求2所述的形成导电钴互连的方法,其还包括将所述衬底加热到至少400℃的温度以使所述钴退火。
4.根据权利要求3所述的形成导电钴互连的方法,其还包括在所述衬底的加热期间使至少一些锰与钴反应。
5.根据权利要求3所述的形成导电钴互连的方法,其还包括在所述衬底的加热期间使至少一些所述锰与钴合金化。
6.根据权利要求1所述的形成导电钴互连的方法,其中在所述特征中形成氮化锰衬里层通过原子层沉积或化学气相沉积进行。
7.根据权利要求1所述的形成导电钴互连的方法,其还包括在(b)之后且在(c)之前,将所述衬底暴露于氮物质以形成差分抑制曲线。
8.根据权利要求7所述的形成导电钴互连的方法,其还包括根据所述差分抑制曲线优先在一个或多个所述特征中沉积钴。
9.根据权利要求1所述的形成导电钴互连的方法,其中(c)包括沉积用于随后的钴镀覆工艺的钴籽晶层。
CN201710337590.0A 2016-05-13 2017-05-15 用于钴的锰阻挡层和粘附层 Active CN107424955B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662336121P 2016-05-13 2016-05-13
US62/336,121 2016-05-13
US15/592,046 US10438847B2 (en) 2016-05-13 2017-05-10 Manganese barrier and adhesion layers for cobalt
US15/592,046 2017-05-10

Publications (2)

Publication Number Publication Date
CN107424955A CN107424955A (zh) 2017-12-01
CN107424955B true CN107424955B (zh) 2021-03-02

Family

ID=60295310

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710337590.0A Active CN107424955B (zh) 2016-05-13 2017-05-15 用于钴的锰阻挡层和粘附层

Country Status (4)

Country Link
US (1) US10438847B2 (zh)
KR (1) KR102394249B1 (zh)
CN (1) CN107424955B (zh)
TW (1) TW201820536A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108315717A (zh) * 2018-01-24 2018-07-24 复旦大学 一种氮化锰薄膜的制备方法
KR20200124351A (ko) * 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
US11004736B2 (en) * 2019-07-19 2021-05-11 International Business Machines Corporation Integrated circuit having a single damascene wiring network
CN110804731B (zh) * 2019-11-04 2020-11-06 江南大学 一种原子层沉积技术生长MnxN薄膜的方法
CN116941027A (zh) 2021-02-08 2023-10-24 麦克德米德乐思公司 用于扩散阻挡层形成的方法和湿式化学组成
KR102522160B1 (ko) 2021-06-22 2023-04-14 포항공과대학교 산학협력단 광학적 관측을 통한 입자의 분석 방법 및 분석 시스템

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150179579A1 (en) * 2013-12-20 2015-06-25 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
CN104934409A (zh) * 2014-03-21 2015-09-23 台湾积体电路制造股份有限公司 后道工序互连层上的通孔预填充
US20150270133A1 (en) * 2014-03-19 2015-09-24 Applied Materials, Inc. Electrochemical plating methods

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194315B1 (en) * 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
KR101558428B1 (ko) * 2009-03-03 2015-10-20 삼성전자주식회사 반도체 장치의 형성 방법
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8610281B1 (en) * 2012-10-02 2013-12-17 Global Foundries Inc. Double-sided semiconductor structure using through-silicon vias
US8907483B2 (en) * 2012-10-10 2014-12-09 Globalfoundries Inc. Semiconductor device having a self-forming barrier layer at via bottom
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US8673779B1 (en) * 2013-02-27 2014-03-18 Lam Research Corporation Interconnect with self-formed barrier
TW201444021A (zh) * 2013-05-10 2014-11-16 Univ Nat Cheng Kung 銅/銅錳合金阻障層
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
US9373542B2 (en) * 2013-11-15 2016-06-21 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with improved contact structures
US20150228585A1 (en) * 2014-02-10 2015-08-13 Globalfoundries Inc. Self-forming barrier integrated with self-aligned cap
KR102398920B1 (ko) * 2014-04-07 2022-05-17 엔테그리스, 아이엔씨. 코발트 cvd
WO2016011352A1 (en) * 2014-07-17 2016-01-21 Applied Materials, Inc. Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US20170040257A1 (en) * 2015-08-04 2017-02-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9716065B2 (en) * 2015-09-14 2017-07-25 International Business Machines Corporation Via bottom structure and methods of forming
US9613856B1 (en) * 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) * 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9905460B2 (en) * 2015-11-05 2018-02-27 Globalfoundries Inc. Methods of self-forming barrier formation in metal interconnection applications
US10446496B2 (en) * 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10128151B2 (en) * 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150179579A1 (en) * 2013-12-20 2015-06-25 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20150270133A1 (en) * 2014-03-19 2015-09-24 Applied Materials, Inc. Electrochemical plating methods
CN104934409A (zh) * 2014-03-21 2015-09-23 台湾积体电路制造股份有限公司 后道工序互连层上的通孔预填充

Also Published As

Publication number Publication date
CN107424955A (zh) 2017-12-01
KR102394249B1 (ko) 2022-05-03
US10438847B2 (en) 2019-10-08
US20170330797A1 (en) 2017-11-16
KR20170128123A (ko) 2017-11-22
TW201820536A (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
US10319582B2 (en) Methods and apparatus for depositing silicon oxide on metal layers
US9748137B2 (en) Method for void-free cobalt gap fill
US9349637B2 (en) Method for void-free cobalt gap fill
CN107424955B (zh) 用于钴的锰阻挡层和粘附层
US20200185225A1 (en) Feature fill with multi-stage nucleation inhibition
CN108461374B (zh) 用于远程等离子体处理的室调节
CN110959186B (zh) 在互连金属化中沉积钌层
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
US8227344B2 (en) Hybrid in-situ dry cleaning of oxidized surface layers
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
KR20150013086A (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
CN109791914B (zh) 用于互连结构的复合介电界面层
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
JP2023520675A (ja) 核形成阻害を伴うフィーチャ充填
CN114051542A (zh) 半导体设备制造中在金属电沉积期间的晶种层的保护
CN109216205B (zh) 氮化钨阻挡层沉积
WO2023038905A1 (en) Process gas ramp during semiconductor processing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant