KR20230161400A - 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱 - Google Patents

텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱 Download PDF

Info

Publication number
KR20230161400A
KR20230161400A KR1020230159550A KR20230159550A KR20230161400A KR 20230161400 A KR20230161400 A KR 20230161400A KR 1020230159550 A KR1020230159550 A KR 1020230159550A KR 20230159550 A KR20230159550 A KR 20230159550A KR 20230161400 A KR20230161400 A KR 20230161400A
Authority
KR
South Korea
Prior art keywords
tungsten
deposition
plasma
feature
substrate
Prior art date
Application number
KR1020230159550A
Other languages
English (en)
Inventor
와이키트 펑
리앙 맹
아난드 찬드랴쉐커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230161400A publication Critical patent/KR20230161400A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

실질적으로 보이드 프리 방식으로 텅스텐 함유 재료들과 같은 금속 재료들을 사용하여 피처들을 충진하기 위한 방법들 및 장치들이 제공된다. 특정한 실시예들에서, 방법은 텅스텐 함유 재료와 같은 금속의 초기 층을 증착하고, 이어서 나머지 층을 형성하도록 초기 층의 일부를 제거하는 단계를 수반하고, 나머지 층은 고 종횡비 피처의 깊이를 따라 차동적으로 패시베이팅된다. 일부는 텅스텐 함유 재료를 불소 함유 질소 함유 가스로부터 생성된 플라즈마에 노출시키고 노출 동안 플라즈마를 펄싱 및/또는 램핑 (ramp) 함으로써 제거될 수도 있다.

Description

텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 RF 전력의 펄싱{PULSING RF POWER IN ETCH PROCESS TO ENHANCE TUNGSTEN GAPFILL PERFORMANCE}
CVD (chemical vapor deposition) 기법들을 사용하는 텅스텐-함유 재료들의 증착은 많은 반도체 제조 프로세스들 중 중요한 부분이다. 이들 재료들은 수평 상호 연결들, 인접한 금속 층들 간의 비아들, 제 1 금속 층과 실리콘 기판 상의 디바이스들 간의 콘택트들, 및 고 종횡비 피처들을 위해 사용될 수도 있다. 종래의 증착 프로세스들에서, 기판은 증착 챔버 내에서 미리 결정된 프로세스 온도로 가열되고, 그리고 씨드 층 또는 핵생성 층으로 역할을 하는 텅스텐-함유 재료들의 박층이 증착된다. 그 후, 텅스텐-함유 재료의 나머지 (벌크 층) 가 핵생성 층 상에 증착된다. 종래에, 텅스텐-함유 재료들은 수소 (H2) 를 사용한 육불화 텅스텐 (WF6) 의 환원에 의해 형성된다. 텅스텐-함유 재료들은 피처들 및 필드 영역을 포함하는 기판의 전체 노출된 표면 영역 위에 증착된다.
텅스텐-함유 재료들을 작은, 그리고 특히 고 종횡비 피처들 내로 증착하는 것은 충진된 피처들 내부에 심들 (예를 들어, 충진되지 않은 보이드들) 의 형성을 유발할 수도 있다. 큰 심들은 고 저항, 오염, 충진된 재료들의 손실, 및 그렇지 않으면 집적 회로들의 열화된 퍼포먼스를 야기할 수도 있다. 예를 들어, 심은 충진 프로세스 후에 필드 영역에 근접하게 연장할 수도 있고 이어서 화학적-기계적 평탄화 동안 개방할 수도 있다.
반도체 기판들을 프로세싱하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는, 금속으로 부분적으로 충진된 피처를 갖는 기판을 제공하는 단계; 불소계 플라즈마 및 질소계 플라즈마에 기판을 노출시키는 단계; 및 금속의 부분을 제거하기 위해 플라즈마를 펄싱하는 단계를 포함하는 방법을 수반한다.
일부 실시예들에서, 금속은 텅스텐이다. 다양한 실시예들에서, 플라즈마는 ON 상태와 OFF 상태 사이로 펄싱되고, OFF 상태 동안 플라즈마 전력은 0 W이고, ON 상태 동안 플라즈마 전력은 약 50 W 내지 약 3000 W이다. 일부 실시예들에서, 플라즈마는 약 1 ㎐ 내지 약 400 ㎑의 주파수, 또는 약 1 ㎐ 내지 약 100 ㎑의 주파수로 펄싱된다. 다양한 실시예들에서, 플라즈마는 약 1 % 내지 약 99 %의 듀티 사이클, 또는 약 10 % 내지 약 90 %의 듀티 사이클을 사용하여 펄싱된다. 일부 실시예들에서, 플라즈마는 ON 상태와 OFF 상태 사이로 펄싱되고, 그리고 플라즈마는 펄스 각각의 약 100 ㎳ 내지 약 10 초의 지속기간 동안 ON 상태이다. 다양한 실시예들에서, 기판이 불소계 플라즈마 및 질소계 플라즈마에 노출되는 단계는 불소-함유 가스 및 질소-함유 가스를 흘리는 단계 및 플라즈마를 점화하는 단계를 포함한다.
다양한 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 펄싱된다. 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 약 30 % 내지 약 70 %의 듀티 사이클을 사용하여 펄싱될 수도 있다. 일부 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 약 200 ㎳ 내지 약 3 초의 지속기간 동안, 또는 약 0.5 초 내지 약 3 초의 펄스 지속기간 동안 펄싱된다. 일부 실시예들에서, 불소-함유 가스 및 질소-함유 가스는 삼불화 질소이다.
방법은 금속의 부분을 제거하는 단계 후에, 피처 내에 금속을 증착하도록 금속-함유 전구체에 기판을 노출시키는 단계를 더 포함할 수도 있다.
또 다른 양태는, 텅스텐으로 부분적으로 충진된 피처를 갖는 기판을 프로세스 챔버에 제공하는 단계; 불소-함유 가스 및 질소-함유 가스를 프로세스 챔버에 도입하는 단계; 불소-함유 가스 플로우 및 질소-함유 가스 플로우를 펄싱하는 단계; 및 텅스텐의 부분을 에칭하기 위해 불소계 플라즈마 및 질소계 플라즈마를 생성하도록 프로세스 챔버 내에서 플라즈마를 점화하는 단계를 포함하는, 방법을 수반한다.
일부 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 약 30 % 내지 약 70 %의 듀티 사이클을 사용하여 펄싱된다. 다양한 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 약 200 ㎳ 내지 약 3 초의 지속기간 동안, 또는 약 0.5 초 내지 약 3 초의 지속기간 동안 펄싱된다. 다양한 실시예들에서, 불소-함유 가스 및 질소-함유 가스는 삼불화 질소이다.
다양한 실시예들에서, 플라즈마는 펄싱된다. 플라즈마는 ON 상태와 OFF 상태 사이로 펄싱될 수도 있고, OFF 상태 동안 플라즈마 전력은 0 W이고 그리고 ON 상태 동안 플라즈마 전력은 약 50 W 내지 약 3000 W이다. 다양한 실시예들에서, 플라즈마는 약 1 ㎐ 내지 약 400 ㎑의 주파수, 또는 약 1 ㎐ 내지 약 100 ㎑의 주파수로 펄싱된다.
또 다른 양태는 반도체 기판들을 프로세싱하는 장치를 수반하고, 장치는: 돔, 샤워헤드, 및 페데스탈을 포함하는 프로세스 챔버; 프로세스 챔버 및 연관된 플로우-제어 하드웨어로의 하나 이상의 가스 유입부들; RF (radio frequency) 생성기; 및 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 적어도 하나의 프로세서 및 메모리는 서로 통신가능하게 연결되고, 적어도 하나의 프로세서는 플로우-제어 하드웨어 및 RF 생성기에 적어도 동작가능하게 연결되고, 그리고 메모리는, 불소-함유 가스 및 질소-함유 가스를 프로세스 챔버로 도입하기 위한 컴퓨터-판독가능 인스트럭션, 플라즈마를 점화하기 위한 인스트럭션, 및 플라즈마를 ON 상태와 OFF 상태 사이로 펄싱하기 위한 인스트럭션으로서, OFF 상태 동안 플라즈마 전력은 0 W이고 그리고 ON 상태 동안 플라즈마 전력은 약 50 W 내지 약 3000 W인, 플라즈마를 펄싱하기 위한 인스트럭션을 저장한다. 다양한 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 펄싱된다. 일부 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 약 30 % 내지 약 70 %의 듀티 사이클을 사용하여 펄싱된다. 다양한 실시예들에서, 불소-함유 가스 플로우 및 질소-함유 가스 플로우는 약 200 ㎳ 내지 약 3 초, 또는 약 0.5 초 내지 약 3 초의 지속기간 동안 펄싱된다. 다양한 실시예들에서, 불소-함유 가스 및 질소-함유 가스는 삼불화 질소이다.
개시된 실시예들의 이들 및 다른 양태들은 대응하는 도면들을 참조하여 보다 상세히 더 기술된다.
도 1은 특정한 실시예들에 따른 프로세스의 상이한 스테이지들에서 고 종횡비 피처를 포함하는 반도체 기판의 예를 예시한다.
도 2는 특정한 실시예에 따른 텅스텐-함유 재료들로 고 종횡비 피처들을 충진하는 방법을 나타내는 일반적인 프로세스 플로우차트를 예시한다.
도 3은 특정한 실시예들에 따른 충진 프로세스의 상이한 스테이지들에서 기판 단면들의 개략적인 표현들을 예시한다.
도 4a는 특정한 실시예들에 따른 고 종횡비 피처들을 충진하기 위한 장치의 개략적인 표현을 예시한다.
도 4b는 특정한 실시예들에 따른 기판들을 에칭하기 위한 장치의 개략적인 표현을 예시한다.
도 5a는 특정한 실시예들에 따른 고 종횡비 피처들을 충진하기 위한 멀티-스테이션 장치의 개략적인 예시를 도시한다.
도 5b는 특정한 실시예들에 따른 고 종횡비 피처들을 충진하기 위한 멀티-챔버 장치의 개략적인 예시이다.
도 6a는 내부에 텅스텐-함유 층이 증착된, 부분적으로 제조된 반도체 기판 내에 제공된 피처의 개략적인 표현을 예시하고, 층 두께의 상이한 측정 지점들을 명시한다.
도 6b는 2 개의 상이한 프로세스 조건들에 대해 에칭 전 및 에칭 후에 도 6a에 도시된 텅스텐-함유 층의 두께 분포의 그래프를 예시한다.
도 7은 페데스탈 온도의 함수로서 활성화된 불소 종 및 재결합된 불소 종의 에칭 레이트들의 플롯이다.
도 8은 챔버 압력의 함수로서 활성화된 불소 종의 에칭 레이트의 플롯이다.
도 9는 상이한 에칭 조건들을 사용하여 프로세싱된 다양한 샘플들에 대한 시간의 함수로서 증착 두께들의 플롯이다.
도 10은 초기 텅스텐 증착, 3 번의-제 2 에칭, 및 부가적인 텅스텐 증착 후 30-㎚ 피처의 단면 SEM (Scanning Electron Microscopy) 이미지를 예시한다.
도 11은 동일한 초기 텅스텐 증착, 1 번의-제 2 에칭, 및 동일한 부가적인 텅스텐 증착 후 또 다른 30-㎚ 피처의 단면 SEM 이미지를 예시한다.
도 12a는 특정한 실시예들에 따른 RF 플라즈마 전력의 예시적인 펄싱 스킴을 예시한다.
도 12b는 특정한 실시예들에 따른 가스 플로우의 예시적인 펄싱 스킴을 예시한다.
도 13은 특정한 실시예들에 따른 주파수 플라즈마 전력의 예시적인 펄싱 및 램핑 (ramping) 스킴을 예시한다.
이하의 기술에서, 개시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 부분 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
서문
개시된 실시예들은 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 RF 전력을 펄싱하는 것을 수반한다. 개시된 실시예들이 사용될 수도 있는 예시적인 방법들은 도 1 내지 도 9에 대해 이하에 기술된다.
텅스텐-함유 재료들로 피처들을 충진하는 것은 충진된 피처들 내부에 심들의 형성을 유발할 수도 있다. 심은 피처의 측벽들 상에 증착될 층이 어느 지점까지 두껍게 할 때 형성될 수 있고, 이 지점은 이 지점 아래의 보이드 공간을 프로세싱 챔버의 분위기로부터 시일링해버린다 (seal off) (즉, 핀치 지점을 형성한다, 또한 시일링 지점이라고 지칭됨). 달리 말하면, 증착된 층을 두껍게 하는 것은 보이드 공간을 핀치 오프한다. 이러한 핀치는 전구체들 및/또는 다른 반응물질들이 남아 있는 보이드 공간들에 들어가는 것을 방지하고, 이들 공간들은 충진되지 않은 채로 남는다. 보이드 공간은 통상적으로 피처의 깊이 방향을 따라 충진된 피처의 부분 전체에 걸쳐 연장하는 길어진 (elongated) 심이다. 이 보이드 공간 또는 심은 그 형상때문에 또한 때때로 키홀 (keyhole) 로 지칭된다.
심 형성을 위한 복수의 잠재적인 요인들이 있다. 일 요인은 텅스텐-함유 재료들, 또는 보다 통상적으로, 확산 배리어 층 또는 핵생성 층과 같은 다른 재료들의 증착 동안 피처 개구 근방에 형성된 오버행 (overhang) 이다. 도 1은 특정한 실시예들에 따른 반도체 프로세싱의 상이한 스테이지들 동안 고 종횡비 피처를 포함하는 반도체 기판의 예를 예시한다. 제 1 단면 (101) 은 미리 형성된 피처 홀 (105) 을 갖는 기판 (103) 을 도시한다. 기판은 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 450-㎜ 웨이퍼일 수도 있다. 피처 홀 (105) 은 적어도 약 2:1 또는 보다 구체적인 실시예들에서, 적어도 약 4:1의 종횡비를 가질 수도 있다. 피처 홀 (105) 은 또한 약 10 ㎚ 내지 500 ㎚, 또는 보다 구체적으로 약 25 ㎚ 내지 300 ㎚의 개구 (예를 들어, 개구 직경, 라인 폭, 등) 에 가까운 단면 치수를 가질 수도 있다. 피처 홀은 때때로 충진되지 않은 피처 또는 단순히 피처로 지칭된다.
다음 스테이지 (단면 (111)) 에서, 기판 (103) 은 피처 홀 (105) 을 라이닝하는 하부 층 (113) 이 증착된 것으로 도시되고, 하부 층은 확산 배리어 층, 접착 층, 핵생성 층, 이들의 조합, 또는 임의의 다른 적용가능한 재료일 수도 있다. 많은 증착 프로세스들이 우수한 단차 커버리지 속성들을 갖지 않기 때문에, 즉, 보다 많은 재료가 필드 영역 상 및 피처 내부보다 개구 근방에 증착되기 때문에, 하부 층 (113) 은 오버행 (115) 을 형성할 수도 있다. 오버행 (115) 은 하부 층 (113) 의 일부일 수도 있지만, 하부 층 (113) 은 예를 들어, 피처 내부보다 개구 근방에서 보다 두꺼울 수도 있다. 본 기술의 목적들을 위해, "개구 근방"은 필드 영역으로부터 측정된 피처 깊이 (즉, 피처의 측벽을 따라) 의 약 0 내지 10 %에 대응하는 피처 내 대략적인 위치 또는 영역으로 규정된다. 특정한 실시예들에서, 개구 근방 영역은 개구의 영역에 대응한다. 또한, "피처 내부"는 피처의 상단부 상의 필드 영역으로부터 측정된 피처 깊이의 약 20 내지 60 %에 대응하는 피처 내 대략적인 위치 또는 영역으로 규정된다. 통상적으로, 특정한 파라미터들 (예를 들어, 두께들) 에 대한 값들이 "개구 근방" 또는 "피처 내부"로 특정될 때, 이들 값들은 이들 위치들/영역들에서 취해진 측정치 또는 복수의 측정치들의 평균을 나타낸다. 특정한 실시예들에서, 개구 근방 하부층의 평균 두께는 피처 내부에서의 평균 두께보다 적어도 약 10 % 더 크다. 보다 구체적인 실시예들에서, 이 차는 적어도 약 25 %, 또는 적어도 약 50 %, 또는 적어도 약 100 %일 수도 있다. 피처 내 재료의 분포는 또한 이 단차 커버리지를 특징으로 할 수도 있다. 본 기술의 목적들을 위해, "단차 커버리지"는 2 개의 두께들의 비, 즉, 피처 내부 재료의 두께를 개구 근방의 재료의 두께로 나눈 것으로 규정된다. 특정한 예들에서, 하부층의 단차 커버리지는 약 100 % 미만, 또는 보다 구체적으로, 약 75 % 또는 심지어 약 50 % 미만이다.
다음 단면 (121) 은 텅스텐-함유 재료들 (123) 로 충진된 피처 홀을 예시한다. 증착 프로세스는 하부 층 (113) 위에 컨포멀한 재료들의 층 (123) 을 발생시킬 수도 있다. 이 증착된 층은 오버행 (115) 을 포함하는 하부 층 (113) 의 형상을 따른다. 특정한 실시예들에서, 그리고 특히, 증착 프로세스의 나중의 스테이지들 (예를 들어, 피처가 폐쇄되기 직전) 에서, 층 (123) 은 보다 덜 컨포멀하게 될 수도 있고 불량한 단차 커버리지를 발생시킨다 (즉, 보다 많은 재료가 피처 내부보다 개구 근방에 증착될 것임). 층 (123) 이 두꺼워짐에 따라, 이는 폐쇄될 수도 있고 핀치 지점 (125) 을 형성한다. 종종 증착 프로세스가 종료되기 전에 일부 부가적인 재료가 핀치 지점 (125) 위에 증착된다. 오버행 (115) 그리고, 특정한 실시예들에서, 층 (123) 의 불량한 단차 커버리지 때문에, 폐쇄된 피처는 기준 지점 (125) 아래에 충진되지 않은 보이드 (129) (즉, 심) 를 가질 수도 있다. 보이드 (129) 의 사이즈 및 필드 영역 (127) 에 대한 기준 지점 (125) 의 위치는 오버행 (115) 의 사이즈, 뿐만 아니라 피처의 사이즈, 종횡비, 및 보잉 (bowing), 증착 프로세스 파라미터들, 및 다른 파라미터들에 따른다.
마지막으로, 단면 (131) 은 기판 (103) 으로부터 상단 층을 제거하는 CMP (chemical-mechanical planarization) 후에 기판 (133) 을 도시한다. CMP는 기판 (103) 의 상단 표면 상에 존재하는 층들 (113 및 123) 의 일부들과 같은 필드 영역으로부터 오버버든을 제거하도록 사용될 수도 있다. 통상적으로 기판 (103) 은 또한 기판 (133) 을 형성하도록 CMP 동안 박형화된다. 도 1에서와 같이, 핀치 지점 (125) 이 CMP 프로세스의 평탄화 레벨 위에 있다면, 심 (129) 은 개방되고 심 개구 (135) 를 통해 분위기에 노출된다. 개방 및 큰 심들의 문제들은 상기에 기술되었다.
또 다른 요인은 도 1에 예시되지 않지만 그럼에도 불구하고 심 형성 또는 심 확대를 야기할 수도 있고 그리고 필드 영역에 보다 가깝게 기준 지점을 이동하는 것은 피처 홀들의 측벽들을 커브 (또는 보잉) 시키고, 이는 또한 보잉된 피처들로 지칭된다. 보잉된 피처들에서 개구 근방의 캐비티 단면 치수는 피처 내부에서보다 작다. 이들 보다 좁은 개구들의 보잉된 피처들에서의 영향들은 상기 기술된 오버행 문제와 다소 유사하다. 또한, 보잉된 피처들은 또한 오버행들을 갖는 하부층들을 가질 수도 있고 직면하는 다른 심 형성은 심 형성의 부정적인 영향들의 조합을 유발한다.
텅스텐-함유 재료들로 충진된 피처들로부터 심들의 완전한 제거는 불가능하거나 실행불가능할 수도 있다. 예를 들어, 증착된 재료들의 큰 입자들, 증착 동안, 특히 피처 폐쇄 전 질량 이송 제한들, 및 다른 이유들로 인해, 일부 보이드 간격은 피처들 내부에 남아 있을 수도 있다. 그러나, 본 명세서에 제공된 신규한 방법들은 심 사이즈들을 저감하는 것 및 필드 영역으로부터 멀리 기준 지점들을 이동시키는 것을 허용한다. 이들은 심 형성을 완화시키는 것으로 집합적으로 참조된다.
프로세스
하나 이상의 중간 선택적 제거 동작들을 도입함으로써 심 형성은 완화될 수 있거나, 일부 실시예들에서, 제거될 수 있다는 것을 알았다. 예를 들어, 충진 프로세스는 고 종횡비 피처들을 적어도 부분적으로 충진하는 초기 층을 형성하는 것으로 시작될 수도 있다. 이 동작에 이 초기 층의 부분적인 선택적인 제거가 이어지고 및 이어서 부가적인 층을 증착한다. 이 제거-증착 사이클은 피처가 실질적으로 보이드 프리 방식으로 완전히 충진될 때까지 반복될 수도 있다. 프로세스 파라미터들은 단차 커버리지가 적어도 일 사이클에서 개선되도록 선택될 수도 있다. 특정한 실시예들에서, 사이클 각각은 단차 커버리지를 더 개선한다. 전체적으로, 선택적인 제거는 피처 내부보다 개구 근방에서 보다 많은 재료가 제거되는 것을 특징으로 할 수 있다. 다양한 프로세스 제거 파라미터들은 질량 이송 제한 조건들에서의 제거를 포함하여, 상이한 에칭 컴포넌트들 (예를 들어, 활성화된 종 및 재결합된 종) 의 제거 레이트 및/또는 흡착 레이트를 제어하는 것, 에칭 종의 재결합 레이트를 제어하는 것, 플라즈마의 펄싱을 제어하는 것, 플라즈마 전력을 제어하는 것, 플라즈마 펄스 길이를 제어하는 것, 플라즈마 펄싱의 듀티 사이클을 제어하는 것, 등의 결과들을 달성할 수도 있다. 본 명세서의 목적들을 위해, 활성화된 종, 예컨대 원자화된 종, 라디칼들, 및 이온들 (예를 들어, 원자 불소) 은 재결합된 종, 예컨대 고 에너지 상태 분자들을 포함하는 분자들 (예를 들어, 분자 불소) 및 초기 에천트 종 (예를 들어, 삼불화 질소 및 이하에 더 기술된 다른 전구체들) 과 구별된다.
도 2는 특정한 실시예들에 따른 텅스텐-함유 재료들로 고 종횡비 피처들을 충진하는 방법을 나타내는 일반적인 프로세스 플로우차트를 예시한다. 프로세스 (200) 는 프로세싱 챔버 내부 증착 스테이션 상에 고 종횡비 피처들을 포함하는 기판을 배치하는 단계로 시작한다 (블록 201). 기판은 또한 하부 층, 예컨대 확산 배리어 층 및/또는 텅스텐 핵생성 층을 가질 수도 있다. 특정한 기판 및 하부 층 상세들은 도 1의 맥락에서 상기에 제공되었다. 특정한 실시예들에서, 피처 개구 근방의 하부 층의 평균 두께는 피처 내부 (예를 들어, 피처의 하단부 근방) 보다 적어도 약 25 % 크다. 보다 일반적인 의미에서, 기판은 오버행들이 형성된 하부 층을 가질 수도 있다. 일부 경우들에서, 이전에 증착된 벌크 텅스텐 층은 피처 내에 존재할 수도 있다. 오버행들을 갖는 피처들은 충진 동안 보이드들을 형성하기 보다 쉽다.
확산 배리어 층은, 기판을 둘러싸는 재료들 내로 피처들을 충진하도록 사용된 재료들의 확산을 방지하는, 컨포멀한 층을 형성하도록 기판 상에 미리 증착될 수도 있다. 확산 배리어 층을 위한 재료들은 질화 텅스텐, 티타늄, 질화 티타늄, 등을 포함할 수도 있다. 배리어 층은 약 10 Å 내지 500 Å 두께, 또는 보다 구체적인 실시예들에서, 약 25 Å 내지 200 Å 두께일 수도 있다. 특정한 실시예들에서, 확산 배리어 층은 오버행을 형성하도록 기판 표면 상에 고르지 않게 분포된다.
핵생성 층은 통상적으로, 그 위에의 벌크 텅스텐-함유 물질의 후속하는 증착을 용이하게 하는, 얇은 컨포멀한 층이다. 특정한 실시예들에서, 핵생성 층은 PNL (pulsed nucleation layer) 기법을 이용하여 증착된다. PNL 기법에서, 환원제, 퍼지 가스들, 및 텅스텐-함유 전구체의 펄스들은 연속적으로 반응 챔버 내로 주입되고, 반응 챔버로부터 퍼지된다. 프로세스는 목표된 두께가 달성될 때까지 순환적 방식으로 반복된다. PNL은 일반적으로 원자층 증착 (ALD) 기법들을 포함하는, 반도체 기판 상의 반응을 위한 반응 물질들을 연속적으로 첨가하는 임의의 순환적 프로세스로 구현된다. 텅스텐 핵생성 층들의 증착을 위한 PNL 기법들은 2008년 2월 13일에 출원된 미국 특허 출원번호 제 12/030,645 호, 2007년 12월 5일 출원된 미국 특허 출원번호 제 11/951,236 호, 및 2009년 3월 19일 출원된 미국 특허 출원번호 제 12/407,541 호에 개시되며, 이들 각각은 모든 목적으로 위해 그 전체가 참조로서 본 명세서에 인용된다. PNL 타입의 프로세스들에 관한 추가 논의는 미국 특허 출원번호 제 11/265,531 호, 뿐만 아니라 미국 특허 제 6,635,965 호, 제 6,844,258 호, 제 7,005,372 호 및 제 7,141,494 호에서 알 수 있으며, 이들 각각은 모든 목적으로 위해 그 전체가 참조로서 본 명세서에 인용된다. 특정한 실시예들에서, 핵생성 층은 오버행을 형성하도록 기판 표면 상에 고르지 않게 분포된다. 본 명세서에 기술된 방법들은 구체적인 텅스텐 핵생성 층 증착 방법으로 제한되지 아니하며, PNL, ALD, CVD, PVD 및 임의의 다른 방법을 포함하는 임의의 방법에 의해 형성된 텅스텐 핵생성 층들 상에 벌크 텅스텐 필름의 증착을 포함한다. 더욱이, 특정한 실시예들에서, 벌크 텅스텐은 핵생성 층을 사용하지 않고 직접 증착될 수도 있다.
증착 스테이션은 또한 특정한 이전 동작들 (예를 들어, 확산 배리어 층의 증착, 핵생성 층의 증착) 및/또는 후속 동작들 (예를 들어, 에칭, 또 다른 증착, 최종 피처 충진) 을 수행하는데 사용될 수도 있다. 특정한 실시예들에서, 증착 스테이션은 증착 동작 (203)을 수행하도록 특수하게 설계될 수도 있다. 장치는 또한 동작 (203)을 수행하도록 부가적인 증착 스테이션들을 포함할 수도 있다. 예를 들어, 초기 증착은 제 1 증착 스테이션에서 수행될 수도 있다. 이어서 기판은 에칭을 위해 또 다른 스테이션으로 이동될 수도 있다. 이하에 더 기술된 특정한 실시예들에서, 에칭 스테이션은 증착 분위기와 에칭 분위기 사이의 교차 오염을 방지하도록, 각각의 동작들을 위해 상이한 재료들과 조건들을 사용하는 상이한 챔버 내에 위치된다. 이어서 프로세스가 또 다른 증착 동작 (203)을 수반한다면, 기판은 제 1 증착 스테이션으로 돌아가거나 또 다른 증착 스테이션으로 이동될 수도 있다. 복수의 증착 스테이션들이 또한 몇몇 기판들에 대해 병렬 증착 동작 (203)을 수행하도록 사용될 수도 있다. 부가적인 상세들 및 장치 실시예들은 도 4 및 도 5a 및 도 5b의 맥락에서 이하에 설명된다.
프로세스는 기판 상의 텅스텐-함유 재료들의 증착으로 진행될 수도 있다 (블록 (203)). 특정한 실시예들에서, 벌크 증착은 텅스텐을 증착하기 위해 텅스텐-함유 전구체가 수소에 의해 환원되는 CVD (chemical vapor deposition) 프로세스를 수반한다. 육불화 텅스텐 (WF6) 이 종종 사용되지만, 프로세스는 이로 제한되는 것은 아니지만, 육염화 텅스텐 (WCl6), 유기 금속성 전구체들, 및 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 와 같은 불소 프리 전구체들을 포함하는 다른 텅스텐 전구체들을 사용하여 수행될 수도 있다. 부가적으로, 수소가 일반적으로 벌크 텅스텐 층의 CVD 증착에서 환원제로서 사용되지만, 실란을 포함하는 다른 환원제들이 개시된 실시예들의 범위로부터 벗어나지 않고 수소에 부가하여 또는 수소 대신 사용될 수도 있다. 또 다른 실시예에서, 텅스텐 헥사카르보닐 (W(CO)6) 이 환원제와 함께 또는 환원제 없이 사용될 수도 있다. 상기 기술된 PNL 프로세스들과 달리, CVD 기법에서, WF6 및 H2 또는 다른 반응물질들이 동시에 반응 챔버 내로 도입된다. 이는 기판 표면 상에 텅스텐 막을 연속적으로 형성하는 혼합 반응물질 가스들의 연속적인 화학 반응을 생성한다. CVD를 사용하여 텅스텐 막들을 증착하는 방법들이 2008년 8월 29일 출원된 미국 특허 출원번호 제 12/202,126 호 (이제 2013년 10월 8일 허여된 미국 특허 제 8,551,885 호) 에 기술되고, 이는 증착 프로세스들을 기술할 목적으로 전체가 본 명세서에 인용된다. 다양한 실시예들에 따라, 본 명세서에 기술된 방법들은 피처를 부분적으로 충진하는특정한 방법으로 제한되지 않고, 임의의 적절한 증착 기법을 포함할 수도 있다.
도 3은 충진 프로세스의 상이한 스테이지들에서 피처들의 단면들의 일 예의 개략적인 표현들을 예시한다. 구체적으로, 단면 (321) 은 초기 증착 동작들 (203) 중 하나를 완료한 후 피처의 예를 나타낸다. 프로세스의 이 스테이지에서, 기판 (303) 은 하부 층 (313) 위에 증착된 텅스텐-함유 재료들의 층 (323) 을 가질 수도 있다. 개구 근방의 캐비티 사이즈는, 예를 들어, 도 1의 맥락에서 상기에 보다 상세히 기술된, 하부 층 (313) 의 오버행 (315) 및/또는 증착된 층 (323) 의 불량한 단차 커버리지로 인해, 피처 내부에서보다 좁을 수도 있다.
도 2를 다시 참조하면, 증착 동작 (203) 은 증착된 층 (예를 들어, 층 (323)) 이 특정한 두께에 도달할 때까지 진행된다. 이 두께는 캐비티 프로파일 및 개구 사이즈에 따라 결정될 수도 있다. 특정한 실시예들에서, 개구 근방에 증착된 층의 평균 두께는 하부 층들이 존재한다면, 임의의 하부 층들을 포함하는 피처 단면 치수의 약 5 % 내지 25 %일 수도 있다. 다른 실시예들에서, 피처는 증착 동작 (203) 동안 완전히 폐쇄될 수도 있고 이어서 선택적인 제거 동작 동안 나중에 재개방될 수도 있다 (미도시).
특정한 실시예들에서, 프로세스 챔버는 증착 동작 (203) 및 제거 동작 (205) 의 정도를 식별하도록 인-시츄 계측 측정들을 수행하기 위한 다양한 센서들을 구비할 수도 있다. 인-시츄 계측의 예들은 증착된 막들의 두께를 결정하기 위한 광학 현미경 및 XRF (X-Ray Fluorescence) 를 포함한다. 또한, 에칭 동작 동안 생성된 텅스텐 불화물들 (WFx) 의 양을 검출하기 위해 적외선 (IR) 분광법이 사용될 수도 있다. 마지막으로, 하부 층, 예컨대 텅스텐 핵생성 층 또는 확산 배리어 층이 에칭 정지 층으로서 사용될 수도 있다.
프로세스는 선택적인 제거 동작 (205) 으로 계속된다. 에칭 프로세스들의 특정한 실시예들은 2009년 8월 4일 출원되고 명칭이 "METHOD FOR DEPOSITING TUNGSTEN FILM HAVING LOW RESISTIVITY, LOW ROUGHNESS AND HIGH REFLECTIVITY"인 Chandrashekar 등의 미국 특허 출원번호 제 12/535,377 호에 기술되고, 전체가 본 명세서에 인용된다. 기판은 증착 스테이션으로부터 또 다른 스테이션으로 이동될 수도 있고, 보다 구체적인 실시예에서, 상이한 조건들에서 동작하는 또 다른 프로세싱 챔버가 동일한 스테이션 상에서 프로세싱될 수도 있고, 또는 (예를 들어, 저장을 위해) 증착 스테이션으로부터 먼저 제거될 수도 있고, 이어서 증착된 층의 선택적인 제거를 위해 증착 스테이션으로 다시 돌아갈 수도 있다.
선택적인 제거 (즉, 피처 내부보다 개구 근방에서 보다 많은 증착된 재료를 제거하기 위한) 를 달성하기 위한 일 방식은 질량 이송 제한된 레짐에서 동작 (205) 를 수행하는 것이다. 이 레짐에서, 피처 내부 제거 레이트는 피처 내로 확산하는 상이한 에칭 재료 컴포넌트들 (예를 들어, 초기 에천트 재료, 활성화된 에천트 종, 및 재결합된 에천트 종) 의 양들 및/또는 상대적인 조성들에 의해 제한된다. 특정한 예들에서, 에칭 레이트들은 피처 내부의 상이한 위치들에서 다양한 에천트 컴포넌트들의 농도들에 따라 결정된다. 용어들 "에칭" 및 "제거"는 본 명세서에서 상호교환가능하게 사용된다는 것을 주의해야 한다. 선택적인 제거는 에칭뿐만 아니라 다른 기법들을 포함하는, 임의의 제거 기법들을 사용하여 수행될 수 있다는 것을 주의해야 한다.
질량 이송 제한 조건들은 전체 에천트 농도 변동들에 의해 부분적으로 특징화될 수도 있다. 특정한 실시예들에서, 이 농도는 피처 개구 근방에서보다 피처 내부에서 보다 작고, 피처 내부보다 개구 근방에서 보다 높은 에칭 레이트를 발생시킨다. 이는 결국 선택적인 제거를 야기한다. 질량 이송 제한 프로세스 조건들은, 일부 에천트가 피처 내로 확산함에 따라 이를 소모하기 위해 상대적으로 높은 에칭 레이트들을 유지하는 동안, (예를 들어, 캐비티 프로파일 및 치수들에 비해 낮은 에천트 플로우 레이트들을 사용하여) 제한된 양의 에천트를 프로세싱 챔버 내로 공급함으로써 달성될 수도 있다. 특정한 실시예에서, 농도 경사는 상당하고, 이는 상대적으로 높은 에칭 운동에너지들 및 상대적으로 낮은 에천트 공급에 의해 유발될 수도 있다. 특정한 실시예들에서, 개구 근방에서 에칭 레이트는 또한 질량 제한될 수도 있지만, 이 조건은 선택적인 제거를 달성하기 위해 요구되지 않는다.
고 종횡비 피처들 내부에서 전체 에천트 농도 변동들에 부가하여, 선택적인 제거는 피처 전체에 걸쳐 상이한 에천트 컴포넌트들의 상대적인 농도들에 영향을 받을 수도 있다. 이들 상대적인 농도들은 결국 에칭 종의 분해 및 재결합 프로세스들의 상대적인 역학들에 따라 결정된다. 농도들은 또한 전력, 플라즈마 펄싱 기간, 플라즈마가 동작 (205) 동안 펄싱되는 시간의 펄스, 및 듀티 사이클 (기간 동안 플라즈마 온 지속기간) 과 같은 플라즈마 조건들에 따라 결정될 수도 있다. 플라즈마 펄싱은 기간들의 반복을 수반할 수도 있고, 기간들 각각은 지속기간 T 동안 지속될 수도 있다. 지속기간 T는 주어진 기간 동안 펄스 ON 시간에 대한 지속기간 (플라즈마가 ON 상태에 있는 지속기간) 및 플라즈마 OFF 시간에 대한 지속기간 (플라즈마가 OFF 상태에 있는 지속기간) 을 포함한다. 펄스 주파수는 1/T로 이해될 것이다. 예를 들어, 플라즈마 펄싱 기간 T = 100 ㎲에 대해, 주파수는 1/T = 1/100 μ, 또는 10 ㎑이다. 듀티 사이클 또는 듀티 비는 듀티 사이클 또는 듀티 비가 펄스 ON 시간/T이도록, 플라즈마가 ON 상태인 동안 기간 T의 분율 또는 백분율이다. 예를 들어, 플라즈마 펄싱 기간 T = 100 ㎲에 대해, (이 기간에서 플라즈마가 ON 상태인 지속기간이 70 ㎲이도록) 펄스 ON 시간은 70 ㎲이고, (이 기간에서 플라즈마가 OFF 상태인 지속기간이 30 ㎲이도록) 펄스 OFF 시간은 30 ㎲이고, 듀티 사이클은 70 %이다.
이하에 더 기술된 바와 같이, 초기 에천트 재료는 활성화된 에천트 종 (예를 들어, 불소 원자들, 라디칼들) 을 생성하기 위해 통상적으로 리모트 플라즈마 생성기를 통과하고 그리고/또는 인-시츄 플라즈마를 겪는다. 다양한 실시예들에서, 본 명세서에 기술된 바와 같은 플라즈마 펄싱은 인-시츄 플라즈마 및/또는 리모트 플라즈마 생성기에 의해 사용될 수도 있다. 그러나, 활성화된 종은 보다 덜 활성인 재결합된 에칭 종 (예를 들어, 불소 분자들) 과 재결합하고 그리고/또는 확산 경로들을 따라 텅스텐-함유 재료들과 반응하는 경향이 있을 수도 있다. 이와 같이, 증착된 텅스텐-함유 층의 상이한 부분들은 상이한 농도들의 상이한 에천트 재료들, 예를 들어, 초기 에천트, 활성화된 에천트 종, 및 재결합된 에천트 종에 노출될 수도 있다. 이는 이하에 기술된 바와 같은 선택적인 제거를 제어하기 위한 부가적인 기회들을 제공한다.
예를 들어, 활성화된 불소 종은 일반적으로 초기 에칭 재료들 및 재결합된 에칭 재료들보다 텅스텐-함유 재료들과 보다 더 반응성이다. 게다가, 도 7로부터 명백한 바와 같이, 활성화된 불소 종은 일반적으로 재결합된 불소 종보다 온도 변동들에 덜 민감하다. 따라서, 프로세스 조건들은 제거가 활성화된 불소 종에 두드러지게 기여하는 방식으로 제어될 수도 있다. 게다가, 구체적인 프로세스 조건들은 피처들의 내부보다 피처들의 개구들 근방에서 보다 높은 농도들로 존재하는 활성화된 불소 종을 발생시킬 수도 있다. 예를 들어, 일부 활성화된 종이 소비될 수도 있고 (예를 들어, 표면 상에 증착된 재료들 및/또는 흡착된 재료들과 반응) 그리고/또는 피처들, 특히 작은 고 종횡비 피처들 내부로 보다 깊이 확산하는 동안 재결합될 수도 있다. 활성화된 종의 재결합은 또한 고 종횡비 피처들 외부, 예를 들어, 프로세스 챔버의 샤워헤드 내에서 일어나고, 챔버 압력에 따를 수도 있다는 것을 주의해야 한다. 따라서, 챔버 압력은 챔버 및 피처들의 다양한 지점들에서 활성화된 에칭 종의 농도들을 조정하도록 구체적으로 제어될 수도 있다. 이들 및 다른 프로세스 조건들은 이제 보다 상세히 기술될 것이다.
다양한 실시예들에 따라, 목표된 에칭 프로파일은 에칭 컨포멀성 (conformality) 을 튜닝함으로써 획득될 수도 있다. 올바른 에칭 온도, 에천트 플로우 에칭 압력, 및 플라즈마 펄싱의 조합은 목표된 컨포멀성을 달성하는 것을 도울 수 있다. 에칭 컨포멀성이 재차 들어간 구조체의 타입 각각에 대해 올바르게 튜닝되지 않으면, 이는 증착-에칭-증착 시퀀스에서조차 불량한 충진을 발생시킬 수 있다.
단차 커버리지는 (반응에 이용가능한 반응물질 종) / (반응 레이트) 에 비례한다. 기본적인 에천트가 원자 불소인 본 명세서에 기술된 피처 에칭의 일부 구현예들에 대해, 이는 다음과 같이 단순화될 수 있다:
따라서, 특정한 텅스텐 에칭 단차 커버리지 (또는 목표된 에칭 컨포멀성 또는 에칭 비-컨포멀성 (etch non-conformality)) 를 달성하기 위해, NF3 플로우 레이트 (또는 이하에 기술된 바와 같이 다른 F-함유 플로우 레이트), 에칭 온도, 및 펄싱은, 원자 불소 농도 및 에칭 레이트에 직접적으로 영향을 주기 때문에 어느 정도 관련있는 파라미터들이다. 에칭 압력 및 캐리어 가스 플로우들과 같은 다른 변수들이 또한 어느 정도 중요성을 가진다.
보다 높은 온도들에서, 들어오는 불소 원자들은 피처 입구에서 용이하게 반응하고 에칭되어, 보다 컨포멀하지 않은 에칭을 발생시키고; 보다 낮은 온도에서, 들어오는 불소 원자들은 피처 내로 확산하고 더 에칭될 수 있어서, 보다 컨포멀한 에칭을 발생시킨다. 보다 높은 에천트 플로우 레이트는 보다 많은 불소 원자들이 생성되게 할 것이고, 보다 많은 불소 원자들이 피처 내로 확산하게 하고 더 에칭하게 하여, 보다 컨포멀한 에칭을 발생시킨다. 보다 낮은 에천트 플로우 레이트는, 피처 입구에서 반응하고 에칭하는 경향이 있는, 보다 적은 불소 원자들이 생성되게 하고, 보다 컨포멀하지 않은 에칭을 발생시킨다. 보다 높은 압력은 분자 불소를 형성하도록 불소 라디칼들의 보다 많은 재결합을 유발할 것이다. 분자 불소는 불소 라디칼들보다 낮은 부착 계수들 갖고 따라서 텅스텐을 에칭하기 전에 피처 내로 보다 용이하게 확산하여, 보다 컨포멀한 에칭을 야기한다.
일부 실시예들에서, RF 플라즈마를 펄싱하는 것은 에칭 컨포멀성을 튜닝하도록 사용된다. RF 플라즈마를 펄싱하는 것은 불소 종 (원자들, 라디칼들, 중성자, 및 다른 종을 포함할 수도 있음) 의 양 및 질소 종 (또한 원자들, 라디칼들, 중성자, 및 다른 종을 포함할 수도 있음) 의 양을 조절할 수도 있다.
특정한 실시예들에서, 선택적인 제거 동작 (205) 은 프로세싱 챔버 내로 초기 에천트 재료를 도입하는 것 및 증착된 층을 선택적으로 제거하기 위해 이를 사용하는 것을 수반한다. 에천트 선택은 증착된 재료에 따라 결정된다. 이 기술은 텅스텐 및 질화 텅스텐과 같은 텅스텐 함유 재료들에 초점을 맞추지만, 다른 재료들이 고 종횡비 피처들의 부분적인 또는 완전한 충진을 위해 사용될 수도 있다는 것이 이해되어야 한다. 이들 재료들의 일부 예는 예컨대 다른 텅스텐-함유 재료들 (예를 들어, 질화 텅스텐 (WN) 및 탄화 텅스텐 (WC)), 티타늄-함유 재료들 (예를 들어, 티타늄, 질화 티타늄, 티타늄 실리사이드 (TiSi), 탄화 티타늄 (TiC) 및 티타늄 알루미나이드 (TiAl)), 탄탈륨-함유 재료들 (예를 들어, 탄탈륨, 질화 탄탈륨), 루테늄, 니켈-함유 재료들 (예를 들어, 니켈 (Ni) 및 니켈 실리사이드 (NiSi), 및 코발트를 포함한다. 이들 재료들은 PVD (Physical Vapor Deposition), CVD, ALD (Atomic Layer Deposition), 및 다른 증착 기법들을 사용하여 증착될 수 있다. 일반적으로, 동작 (205) 은 확산 배리어 층들, 핵생성 층들, 및/또는 충진 재료들을 포함하여, 고 종횡비 피처들 내부에 형성된 임의의 재료들을 선택적으로 제거하도록 사용될 수도 있다.
텅스텐 함유 재료들 및 일부 다른 재료들의 선택적 제거를 위해 사용될 수 있는 초기 에천트 재료들의 예는 삼불화 질소 (NF3), 테트라플루오로메탄 (CF4), 테트라플루오로에틸렌 (C2F4), 헥사플루오로에탄 (C2F6), 및 옥타플루오로프로판 (C3F8), 트리플루오로메탄 (CHF3), 육불화 황 (SF6), 및 분자 불소 (F2) 를 포함한다. 일부 실시예들에서, 질소/불소 (N2/F2) 의 혼합물과 같은 질소-함유 가스들 및 불소-함유 가스들의 조합이 사용될 수도 있다. 개시된 실시예들은 통상적으로 예를 들어, 라디칼들, 이온들, 및/또는 고 에너지 분자들을 포함하는 활성 종을 생성하는 것을 수반하는 프로세스를 수반한다. 예를 들어, 초기 재료는 리모트 플라즈마 생성기를 통해 흐르고 그리고/또는 인-시츄 플라즈마를 겪을 수도 있다.
상기 기술된 바와 같이, 일부 실시예들에서, 에천트 재료의 양은 동작 (205) 동안 RF 플라즈마 전력을 펄싱함으로써 조절될 수도 있다. 일부 실시예들에서, 동작 (205) 동안 질소 종에 대한 불소 종의 상대적인 양이 조절될 수도 있도록 NF3는 질소 종 및 불소 종을 형성할 수도 있다. 예를 들어, 일부 실시예들에서, 고 질소 함량을 생성하기 위해 전력, 펄스 길이, 및/또는 듀티 사이클의 가변하는 조건들에서 RF 플라즈마를 펄싱하고, 그리고 불소 종에 대한 질소 종의 상이한 비를 생성하기 위해 다른 조건들에서 RF 플라즈마를 펄싱하는 것이 가능할 수도 있다. 다양한 실시예들에서, 동작 (205) 은 불소계 플라즈마 및 질소계 플라즈마를 생성하는 것을 수반한다. 본 명세서에 사용된 바와 같은 용어 "불소계 플라즈마 및 질소계 플라즈마"는 과반수의 불소 및 질소 반응 종을 갖는 플라즈마를 지칭한다. 캐리어 가스는 또한 보다 큰 농도들로 에천트 재료들과 함께 흐를 수도 있지만, 캐리어 가스는 반응성 종이 아니다.
일부 실시예들에서, 플라즈마 펄싱은 또한 후속하는 증착에서 텅스텐의 핵생성을 억제하도록 사용될 수도 있다. 일부 실시예들에서, 동작 (205) 에서 사용된 에천트 재료들로부터 탄소 및/또는 질소는 텅스텐의 핵생성을 억제하고 갭 충진을 향상시키도록 표면을 패시베이팅할 수도 있다. 다양한 실시예들에서, 플라즈마 펄싱은, 갭 충진을 향상시키기 위해 증착 동안 텅스텐을 에칭할 뿐만 아니라 텅스텐의 핵생성을 억제하도록 사용될 수도 있다. 예를 들어, 본 명세서에 기술된 바와 같이 불소 종 및 질소 종의 비들은 이하에 더 기술될 바와 같이, 텅스텐의 핵생성 억제보다 많은 텅스텐의 에칭을 수행하도록, 또는 에칭보다 많은 억제를 수행하도록, 조절될 수도 있다.
다양한 실시예들에서, RF 전력은 램핑 (ramp) 될 수도 있고, 이는 RF 전력을 펄싱하는 것에 부가하여 또는 대안적으로 수행될 수도 있다. 본 명세서에 사용된 바와 같은 램핑은 가스 또는 플라즈마 종에 대한 노출 동안 조건들을 변화시킴으로써 규정된다. 예를 들어, 일부 실시예들에서, RF를 램핑하는 것은 0으로부터 약 50 W 내지 3000 W의 선택된 RF 전력으로 RF 전력을 상승시키는 것을 수반할 수도 있다.
램핑된 RF 전력은 RF를 조절함으로써 미리 결정된 시간에서 모듈 내의 이용 가능한 N 라디칼들 및 F 라디칼들의 독립적인 제어를 허용할 수도 있다. 이러한 유연성은 갭 충진 적용예들을 위해 질소에 대한 불소의 비를 제어하기 위한 부가적인 놉들을 제공한다. 도 12a 및 도 12b는 RF 펄싱 (도 12a) 및 가스 펄싱 (도 12b) 를 사용한 펄싱 스킴들의 예들을 제공한다. 도 13은 RF 램핑이 수행되는 펄싱 스킴의 예를 제공한다.
일부 실시예들에서, 불소 종에 대한 질소 종의 비는 RF 주파수에 따라 결정된다. 예를 들어, 일부 실시예들에서, 플라즈마 주파수는 ㎑ 범위일 수도 있다. 주파수 및 듀티 비를 제어함으로써, 기판이 플라즈마에 노출되는 지속기간이 감소될 수도 있다. 이는 또한 플라즈마 온 지속기간 및 플라즈마 오프 지속기간을 조절하고, 그리고 그 결과, 플라즈마 밀도 및 전자 에너지 분포와 같은 플라즈마 속성들을 변화시켜 N 라디칼 및 F 라디칼 생성 레이트들에 영향을 준다. 주파수 및 듀티 비를 조절하는 것은 F 라디칼 생성보다 N 라디칼 생성을 보다 급격하게 감소시킬 수도 있다.
일부 실시예들에서, RF 펄싱 플라즈마의 주파수는 약 1㎐ 내지 약 400 ㎑, 또는 1 ㎐ 내지 약 100 ㎑, 또는 약 10 ㎐ 내지 약 100 ㎑, 또는 약 100 ㎐ 내지 약 10 ㎑일 수도 있다. 듀티 사이클은 약 1 % 내지 약 99 %, 또는 약 10 % 내지 약 90 %일 수도 있다. 일부 실시예들에서, 펄스 각각에서 RF 전력이 ON인 지속기간은 약 100 ㎳ 내지 약 10 초, 또는 약 100 ㎳ 내지 약 5 초일 수도 있다. 일부 실시예들에서, ON 기간들 동안 RF 전력은 약 50 W 내지 약 3000 W일 수도 있다. 일부 실시예들에서, RF 전력은 램핑 및 펄싱 모두 될 수도 있다. RF 전력은 가스들에 대한 노출 동안 램핑되거나 선택된 전력으로 유지될 수도 있다. 일부 실시예들에서, 동작 (205) 를 수행하는 지속기간 내에, RF 전력은 0 W로부터 선택된 RF 전력으로 램핑되고, 마지막 펄스들이 동일한 RF 전력으로 유지되도록 선택된 RF 전력으로 유지된다.
가스 펄싱은 RF 펄싱 및/또는 램핑과 함께 수행될 수도 있다. 예를 들어, 도 12b에 도시된 바와 같이, 일부 실시예들에서, 가스 플로우 (예컨대 아르곤 플로우) 는 약 0 sccm 내지 약 500 sccm일 수도 있고, 한편 NF3의 가스 플로우는 약 1 sccm 내지 약 200 sccm일 수도 있다. 가스 플로우는 약 30 % 내지 약 70 %의 듀티 사이클을 갖는 주파수로 펄싱될 수도 있다. 일부 실시예들에서, 가스 플로우는 일 예시적인 사이클에서 1 초 동안 온되고 2 초 동안 오프될 수도 있다. 가스 플로우에 대한 온 및 오프 기간들을 포함하는 전체 사이클은 약 200 ㎳ 내지 약 5 초, 또는 약 0.5 초 내지 약 3 초일 수도 있다.
개시된 실시예들은 또한 고 피크 전력을 유지할 수도 있지만 (따라서 ICP 모드를 유지), 또한 저 평균 전력 (저 플라즈마 밀도) 을 유지할 수도 있다. 따라서, 저 전력 CCP 모드는 일부 실시예들에서 사용되지 않을 것이다.
전력에 대한 램핑 조건들에 대한 지속기간은 약 100 ㎳ 내지 약 2 초일 수도 있다. 예를 들어, RF 전력은 RF 전력을 상승시킴으로써 약 100 ㎳의 지속기간에 걸쳐 조절될 수도 있다. 일부 실시예들에서, 저 전력 레짐은 N 라디칼을 감소시킬 것이다. 이러한 램핑의 구현은 레시피로 구현될 수도 있고, 기존의 하드웨어 툴들이 일부 개시된 실시예들을 수행하는데 적합할 수도 있다. 예를 들어, 펄싱 생성기들이 일부 실시예들에서 사용될 수도 있다. 타이밍 모드를 사용한다면, 생성기는 스스로 약 몇 ㎳ 내지 약 1000 ㎳ 범위의 지속기간 동안 램핑을 수행하는 것과 같은 전력 램핑을 수행할 수 있을 수도 있다. 일부 실시예들에서, 저속 램핑 및 고속 RF 전력 펄싱이 조합될 수 있다.
다양한 실시예들에서, RF 전력은 동작들 (203 및 205) 의 2 이상의 사이클들 내내 램핑된다. 일부 실시예들에서, 램핑은 동작들 (203 및 205) 을 반복하는 모든 사이클들이 아니라 일부 동안 수행된다. 일부 실시예들에서, 동작 (205) 은 RF 전력 및/또는 가스 플로우의 복수의 펄스들을 수반하고, RF 전력은 펄스 각각에서 램핑될 수도 있다. 일부 실시예들에서, 램핑은 복수의 펄스들의 지속기간에 걸쳐 동작 (205) 에서 수행된다. 일부 실시예들에서, 램핑은 RF 전력이 램핑되고, 제 1 전력으로 유지되고, 다시 램핑되고, 그리고 제 2 전력으로 유지되고, 이렇게 계속되는 방식으로 수행될 수도 있다. 다양한 실시예들에서, 램핑은 펄싱과 조합될 수도 있다. 예를 들어, RF 전력은 램핑되고 펄싱될 수도 있고 한편 가스 플로우가 또한 펄싱된다. RF 전력은 램핑에 대한 초기 RF 전력 및 최종 RF 전력을 설정함으로써 램핑되고 펄싱될 수도 있고, RF 전력이 초기 RF전력과 최종 RF 전력 사이에서 상승함에 따라 펄싱될 수도 있다. 일부 실시예들에서, 램핑은 RF 전력을 선형으로 상승시킴으로써 수행될 수도 있다. 일부 실시예들에서, (2 개의 펄스들 간의 RF 전력 간의 차가 시간에 걸쳐 상승하거나 시간에 걸쳐 감소하도록) 램핑은 RF 전력을 비선형으로 상승시킴으로써 수행될 수도 있다.
에천트의 플로우 레이트들은 통상적으로 챔버의 사이즈, 에칭 레이트들, 에칭 균일성, 및 다른 파라미터들에 따라 결정된다. 통상적으로, 플로우 레이트는 피처 내부보다 개구 근방에서 보다 많은 텅스텐-함유 재료가 제거되는 방식으로 선택된다. 특정한 실시예들에서, 이들 플로우 레이트들은 질량 이송 제한된 선택적인 제거를 유발한다. 예를 들어, 스테이션 당 195-리터 챔버에 대한 플로우 레이트는 약 25 sccm 내지 10,000 sccm 또는, 보다 구체적인 실시예들에서 약 50 sccm 내지 1,000 sccm일 수도 있다. 특정한 실시예들에서, 플로우 레이트는 약 2,000 sccm 미만, 또는 약 1,000 sccm 미만, 또는 보다 구체적으로 약 500 sccm 미만이다. 이들 값들은 300-㎜ 웨이퍼 기판을 프로세싱하기 위해 구성된 일 개별 스테이션에 대해 제공된다는 것을 주의해야 한다. 당업자는, 예를 들어, 이들 플로우 레이트들이 기판 사이즈, 장치 내 다수의 스테이션들 (예를 들어, 4 개의 스테이션 장치에 대해 4 배), 프로세싱 챔버 체적, 및 다른 인자들에 따라 크거나 작게 스케일링될 (scaled up or down) 수 있다는 것을 이해할 것이다.
특정한 실시예들에서, 기판은 제거 동작 (205) 이 진행될 수 있기 전에 가열되거나 냉각되어야 한다. 기판을 미리 결정된 온도가 되게 하도록 다양한 디바이스들, 예컨대 스테이션 내 가열 엘리먼트 또는 냉각 엘리먼트 (예를 들어, 페데스탈 내에 설치된 전기적 저항 히터 또는 페데스탈을 통해 순환하는 열 전달 유체), 기판 위의 적외선 램프들, 플라즈마 점화, 등이 사용될 수도 있다.
기판에 대해 미리 결정된 온도는 증착된 층과 다양한 에천트 종 간의 화학 반응을 유도할 뿐만 아니라 둘 사이의 반응 레이트를 제어하는 방식으로 선택된다. 예를 들어, 온도는 피처 내부보다 개구 근방에서 보다 많은 재료가 제거되도록 고 제거 레이트들을 갖도록 선택될 수도 있다. 게다가, 온도는 활성화된 종의 재결합 (예를 들어, 원자 불소의 분자 불소로의 재결합) 을 제어하도록 그리고/또는 어느 종 (예를 들어, 활성화된 종 또는 재결합된 종) 이 에칭에 우세하게 기여하는 지를 제어하도록 선택될 수도 있다. 전체적으로, 기판 온도는 에천트 화학적 조성, 목표된 에칭 레이트, 활성화된 종의 목표된 농도 분포들, 상이한 종에 의한 선택적 제거에 대한 목표된 기여도들, 및 다른 재료 및 프로세스 파라미터들에 기초하여 선택될 수도 있다. 특정한 실시예들에서, 기판은 약 300 ℃ 미만 또는 보다 구체적으로 약 250 ℃ 미만, 또는 약 150 ℃ 미만, 또는 심지어 약 100 ℃ 미만으로 유지된다. 다른 실시예들에서, 기판은 약 300 ℃ 내지 450 ℃, 또는 보다 구체적인 실시예들에서, 약 350 ℃ 내지 400 ℃로 가열된다. 다른 온도 범위들이 상이한 타입들의 에천트들에 대해 사용될 수도 있다.
상기 기술된 바와 같이, 개시된 실시예들은 20 ㎚ 비아 금속 콘택트에 대해 CVD 텅스텐 증착의 갭 충진을 개선하기 위해 NF3와 같은 불소 함유 에칭 화학물질을 사용할 수도 있다. 본 명세서에 기술된 바와 같이, 라디칼들을 포함할 수도 있는 불소 종은 텅스텐 하부 층일 수도 있는 텅스텐을 에칭하도록 사용될 수도 있다. 그러나, 라디칼들을 포함할 수도 있는, 에칭 프로세스로부터 생성된 질소 종은 텅스텐과 반응할 수도 있어서 후속하는 텅스텐 성장의 잠복 지연을 발생시키고 갭 충진 이슈들을 발생시킨다. RF 전력을 감소시키는 것은 질소 라디칼을 감소시킬 수 있지만 불소 라디칼이 또한 감소될 것이고, 원치 않은 에칭 프로파일을 야기한다.
선택적인 에칭은 또한 동작 (205) 동안 사용된 플라즈마 전력을 조절함으로써 수행될 수도 있다. 예를 들어, 선택적인 에칭 동안 질소 라디칼에 대한 불소 라디칼의 비를 튜닝하도록, 플라즈마 생성기는 펄싱될 수도 있고, 또는 프라즈마 생성기의 펄싱을 제어하기 위해 프로그램이 사용될 수도 있다. 다양한 실시예들에서, 플라즈마 전력을 조절하는 것은 후속하는 텅스텐 성장의 잠복 지연을 최소화하는 동안 텅스텐 에칭 프로파일을 맞출 (tailor) 것이고, 이에 따라 개시된 실시예들의 프로세스들을 제어한다. 일부 실시예들에서, 이는 약 20 ㎚의 개구를 갖는 비아들, 예컨대 금속 콘택트들을 형성하도록 사용된 비아들에 사용될 수도 있다.
본 명세서에 기술된 바와 같이, 개시된 실시예들은 상이한 주파수들 및 듀티 비들로 펄싱함으로써 RF 전력 레벨을 조절하는 것을 수반할 수도 있다. 일부 실시예들에서 일정한 질소 라디칼 생성 레이트는 불소 라디칼이 의존하는 것보다 민감하게 플라즈마 밀도 및 전력에 의존할 수도 있다. 따라서, 전력 변조 (power modulation) 는, 후속하는 텅스텐 성장의 잠복 지연을 최소화하는 동안 최적의 텅스텐 에칭 프로파일을 위한 질소 라디칼에 대한 불소 라디칼 비를 상승시키도록 사용될 수 있다.
RF를 통해 질소 라디칼에 대한 불소 라디칼 비를 조절하는 것은 웨이퍼 내에서 보다 큰 갭 충진 윈도우 및 보다 우수한 프로세스 제어를 허용한다. 상기 기술된 바와 같이, 일부 플라즈마들, 예컨대 NF3 플라즈마는 피처 내에 증착된 텅스텐의 표면을 패시베이션함으로써 텅스텐 핵생성을 억제하도록 사용될 수도 있다. 모든 에천트 종 (예컨대 라디칼들) 중에 전력 변조에 의해 잠복 지연을 유도하는 라디칼들을 포함할 수도 있는, 질소 종의 조절은, 예컨대 증착 동안 질소-함유 억제제에 텅스텐을 노출시킴으로써 텅스텐의 핵생성의 억제를 수반하는 프로세스들을 위해 사용될 수 있다. 예를 들어, 패시베이션은 질소 총에 대한 텅스텐 층의 노출이 텅스텐 층을 패시베이션하여, 표면 상에 텅스텐 핵생성을 억제함으로써 제어될 수도 있다.
핵생성 억제를 수반하는 텅스텐 증착의 부가적인 예들 및 기술들은 2013년 2월 22일 출원되고 명칭이 "TUNGSTEN FEATURE FILL WITH NUCLEATION INHIBITION"인 미국 특허 출원 공개 번호 제 2013/0171822 호; 및 2013년 3월 27일 출원되고 명칭이 "TUNGSTEN FEATURE FILL"인 미국 특허 출원번호 제 2013/0302980 호에 기술되고, 전체가 참조로서 본 명세서에 인용된다.
일부 실시예들에서 2-단계 프로세스가 동일한 모듈 내에서 또는 그렇지 않으면 진공을 파괴하지 않고 수행된다. 상기에 기술된 바와 같이, 일부 예들에서, NF3 리모트 플라즈마는, 매우 높은 N 함량을 생성하기 위한 전력/펄스 길이/듀티 사이클 조건들 하에서 처음에 펄싱되고, 이어서 후속하는 에칭 백 단계를 위해 상이한 비 (예를 들어 N/F << 1) 를 생성하도록 조건들이 변화된다. 일부 실시예들에서, 펄싱은 피처 내에서 억제 프로파일을 튜닝하도록 사용될 수도 있다.
증착/에칭/증착 프로세스들의 예들은, 2012년 2월 21일 허여된 명칭이 "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES"인 미국 특허 제 8,119,527 호; 2013년 3월 27일 출원되고 명칭이 "TUNGSTEN FEATURE FILL"인 미국 특허 출원 공개번호 제 2013/0302980 호; 2015년 5월 19일 허여된 명칭이 "DEPOSITING TUNGSTEN INTO HIGH ASPECT RATIO FEATURES"인 미국 특허 제 9,034,768 호; 및 2014년 7월 25일 출원되고 명칭이 "VOID FREE TUNGSTEN FILL IN DIFFERENT SIZED FEATURES"인 미국 특허 출원 공개번호 제 2015/0024592 호에 기술되고, 이 출원들 모두 전체가 본 명세서에 참조로서 인용된다.
활성화된 종은 대응하는 재결합된 종보다 빠를뿐만 아니라 보다 바람직한 선택적인 제거를 제공한다고 결정되었다. 이와 같이, 활성화된 종의 상대적인 농도들 및/또는 제거 기여도들을 상승시키기 위해 다양한 방법들이 개발되었다. 예를 들어, 활성화된 불소 종의 활성화 에너지는 재결합된 불소보다 훨씬 낮다. 따라서, 기판 온도들은 활성화된 종으로부터 보다 큰 제거 기여도를 발생시킬 수도 있다. 특정한 온도들 (및 다른 프로세스 조건들, 예를 들어, 플로우 레이트들 및 챔버 압력들) 에서 활성화된 종의 상대적인 제거 기여도는 재결합된 종의 제거 기여도를 초과할 수도 있다.
도 7은 활성화된 종 (선 702) 및 재결합된 종 (선 704) 에 대한 페데스탈 온도의 함수로서 2 개의 에칭 레이트들의 플롯이다. 에칭 테스트들은 20 초 동안 400 sccm으로 리모트 플라즈마 생성기를 통해 프로세싱 챔버 내로 공급된 삼불화 질소 전구체 (선 702) 및 50 초 동안 500 sccm으로 공급된 분자 불소 전구체 (선 704) 를 사용하여 모델링되었다. 챔버 압력은 두 테스트들 동안 1 Torr로 유지되었다. 결과들은 재결합된 불소 분자들에 대응하는 에칭 레이트 (선 704) 가 페데스탈 온도를 하강시킴으로써 실질적으로 감소될 수 있다는 것을 나타낸다. 동시에, 활성화된 종에 대응하는 에칭 레이트 (선 702) 는 상대적으로 편평하게 유지되고, 즉, 이는 선 704만큼 페데스탈 온도에 민감하지 않다.
특정한 실시예들에서, (예를 들어, 활성화된 종의 재결합을 최소화하기 위해) 기판 표면에 콘택트하는 재결합 종을 제거하거나 실질적으로 최소화하는 것 조차 어려울 수도 있다. 예를 들어, 장치는 통상적으로 샤워헤드를 포함하고 (도 4의 맥락에서 더 설명됨), 이는 (예를 들어, 리모트 플라즈마 생성기로부터 샤워헤드를 통해 흐르는) 이전에 활성화된 에천트 종의 상당한 재결합을 유발한다. 이는 예를 들어, 샤워헤드의 폐쇄된 볼륨 내에서 보다 긴 체류 시간 및 높은 표면-대-볼륨 비를 발생시킬 수도 있다. 재결합이 여전히 시스템 내에 존재할 수도 있는 동안, 재결합된 종의 부분적인 제거의 효과는 이 동작 동안 기판 온도에 의해 감소될 수도 있다고 결정되었다. 원자 불소는 분자 불소보다 훨씬 보다 낮은 활성화 에너지 (0.33 eV 대 0.55 eV) 를 갖는다. 이 관계는 일반적으로 다른 활성화되고 재결합된 종에 대해 유지된다. 이와 같이, 재결합된 종의 에칭 기여도는 에칭 동작 동안 온도를 하강시킴으로써 감소될 수 있다.
활성화된 종의 재결합에 영향을 줄 수도 있는 또 다른 프로세스 파라미터는 챔버 내부 압력 또는 보다 구체적으로 챔버 내에 존재할 수도 있는 상이한 재료들 (예를 들어, 초기 에천트 재료들, 활성화된 종, 재결합된 종, 캐리어 가스들, 반응 생성물들, 등) 의 분압들 (partial pressures) 이다. 보다 높은 총 압력 (예를 들어, 약 10 Torr 초과) 은 일반적으로 종 간의 보다 많은 충돌들을 발생시키고, 이는 결국 보다 높은 재결합 레이트를 발생시키는 활성화된 에천트 종의 보다 짧은 평균 자유 경로들에 대응한다. 게다가, 저압 레벨들에서, 텅스텐 표면 또는 다른 유사한 표면들에 대한 일부 재결합된 종 (예를 들어, 분자 불소) 의 부착 가능성은 활성화된 종 (예를 들어, 원자 불소) 보다 낮다는 것을 알았다. 낮은 부착 가능성은 단차 커버리지를 개선하는 경향이 있다.
도 8은 20 초 동안 400 sccm으로 프로세싱 챔버 내로 공급된 삼불화 질소 전구체에 대한 챔버 압력의 함수로서 에칭 레이트의 플롯이다. 기판은 이 실험 동안 300 ℃로 유지된다. 결과들은 압력의 1 Torr 내지 5 Torr 간의 증가는 보다 낮은 에칭 레이트들을 발생시킨다는 것을 보여준다. 어떠한 이론에도 매이지 않고, 이 레벨에서의 보다 높은 압력들은 활성화된 종의 재결합된 종으로 보다 높은 재결합 레이트들을 야기한다고 여겨지고, 재결합된 종은 보다 낮은 에칭 레이트들을 야기하는 보다 덜 반응성이다. 이 재결합 및 보다 낮은 에칭 반응도는 보다 높은 전체 에천트 농도들에 의해 유발된 모든 증가들을 실제로 오프셋한다. 압력이 5 Torr 이상으로 더 상승하기 때문에, 에칭 재료들의 보다 높은 농도들은 에칭 레이트들에서 다소 완화된 상승을 발생시킨다. 제거는 이 압력 레벨들에서 재결합된 종에 의해 우세하게 제어된다고 여겨진다. 이와 같이, 활성화된 종으로부터 보다 큰 기여도를 갖기 위해, 프로세스 챔버는 보다 낮은 전체 압력 값들로 유지되어야 한다. 특정한 실시예들에서, 프로세스 챔버는 약 5 Torr 미만, 또는 보다 구체적으로 약 2 Torr 미만, 또는 심지어 약 1 Torr 미만 또는 약 0.1 Torr 미만으로 유지된다.
도 2를 다시 참조하면, 개구 근방에서 증착된 층의 평균 두께의 감소는 선택적인 제거 동작 (205) 의 결과로서 피처 내부보다 개구 근방에서 보다 클 수도 있다. 특정한 실시예들에서, 개구 근방에서의 감소는 피처 내부의 감소보다 적어도 약 10 % 크고, 또는 보다 구체적인 실시예들에서, 적어도 약 25 % 보다 크다. 제거 동작 (205) 은, 존재한다면 에천트에 노출되는 기판 또는 모든 하부 층의 지점까지 수행될 수도 있다. 남아 있는 층은 단차 커버리지를 특징으로 할 수도 있다. 특정한 실시예들에서, 에칭된 층의 단차 커버리지는 적어도 약 75 %, 보다 구체적으로 적어도 약 100 %, 또는 적어도 약 125 %, 훨씬 보다 더 구체적으로 적어도 약 150 %이다.
특정한 실시예들에서, 패시베이팅된 표면이 형성되도록 제거 동작이 수행된다. 이 표면은 후속 증착 사이클에서 텅스텐-함유 재료들의 증착을 억제한다. 패시베이팅된 표면을 형성하는 것은 도 2의 맥락에서 이하에 기술되지만, 이렇게 제한되지 않고 에칭 프로세스를 적절히 채용함으로써 임의의 텅스텐 증착 프로세스에서 수행될 수도 있다는 것을 주의해야 한다. 패시베이션, 및 따라서 후속하는 텅스텐 증착은 본 명세서에 기술된 바와 같이 에칭 조건들을 적절하게 튜닝함으로써, 피처 깊이 또는 증착 표면의 다른 지리적 영역에 대해 선택적이거나 비선택적일 수도 있다. 상기 기술된 바와 같이, 일부 실시예들에서, 패시베이션은 또한 동작 (205) 동안 플라즈마 펄싱을 조절함으로써 제어될 수도 있다. 예를 들어, 전력, 펄스 길이, 및 듀티 사이클과 같은 펄싱된 플라즈마 조건들은 패시베이션의 양을 제어하도록 플라즈마 내의 질소 종의 양을 조절할 수도 있다.
도 2를 다시 참조하면, 특정한 실시예들에서, 남아 있는 층으로 지칭될 수도 있고, 패시베이팅된 표면을 갖는 층의 형성을 발생시키는 특정한 프로세스 조건들에서 선택적인 제거 동작 (205) 이 수행된다. 특정한 실시예들에서, 패시베이션은 고 종횡비 피처들의 깊이를 따라 상이하고, 이는 상기 기술된 바와 같은 이 치수를 따라 상이한 에칭 조건들 (예를 들어, 활성화된 종의 농도들) 로 인한 것이다. 예를 들어, 이 동작 동안 프로세스 조건들은 피처들 내부보다 피처들의 개구들 근방에서 보다 많은 패시베이션을 유발하도록 특정하게 튜닝될 수도 있다. 일반적으로, 이들 조건들은 저압 (예를 들어, 8 Torr 미만 심지어 5 Torr 미만) 에 대응하고 에칭을 연장시킨다 (예를 들어, 통상의 30-㎚ 피처들에 대해 1 초 초과 심지어 5 초 초과). 이 현상은 도 9를 참조하여 이제 보다 상세히 기술될 것이다.
도 9는 상이한 에칭 조건들을 사용하여 프로세싱된 5 세트의 웨이퍼들에 대한 시간의 함수로서 제 2 증착 사이클 증착 두께들의 플롯이다. 이 플롯은 증착 레이트들에 대한 이들 에칭 조건들에 의해 유발된 상이한 패시베이션 레벨들의 효과들을 예시한다. 이 실험에서, 5 세트들의 웨이퍼들의 표면들은 초기 텅스텐 층과 함께 증착된다. 동일한 증착 조건들이 5 세트 모두에 대해 사용된다. 이어서 세트 각각의 웨이퍼들이 상이한 에칭 조건들을 사용하여 프로세싱된다. 도 9의 선 902 (플롯에서 숫자 값들 133, 354, 및 545로 식별된 상단 실선) 에 대응하는 제 1 세트의 웨이퍼들은 전혀 에칭되지 않았다. 달리 말하면, 어떠한 중간 에칭 사이클들 없이 제 1 증착 사이클에 제 2 증착 사이클이 이어진다. 선 904 (숫자 값 526로 식별된 중간 점선; 다른 두 선들과 밀접하게 가까워 다른 숫자 값들은 도시되지 않음) 에 대응하는 제 2 세트의 웨이퍼들은 18 Torr에서 7 초의 기간 동안 에칭되었다. 선 906 (숫자 값들 126, 344, 및 517에 의해 식별된 3 개의 선들의 상단 그룹에서 하단 실선) 에 대응하는 제 3 세트의 웨이퍼들은 18 Torr에서 17 초의 기간 동안 에칭되었다. 선 908 (숫자 값들 54, 99, 및 149로 식별됨) 에 대응하는 제 4 세트의 웨이퍼들은 0.8 Torr에서 5 초의 기간 동안 에칭되었다. 마지막으로, 선 908 (숫자 값들 5, 9, 및 25로 식별됨) 에 대응하는 제 5 세트의 웨이퍼들은 0.8 Torr에서 10 초의 기간 동안 에칭되었다. 이어서 이들 5 세트의 웨이퍼들은 부가적인 텅스텐 층들을 형성하기 위해 3 번의 시간 기간들 시간 (즉, 5 초, 15 초, 및 25 초) 에 대해 동일한 증착 조건들을 겪는다. 이들 부가적인 텅스텐 층들의 발생되는 두께들은 도 9에 도시되었다.
도 9는 처음 3 세트의 웨이퍼들 (즉, 에칭을 겪지 않거나 18 Torr에서 에칭되는 웨이퍼들) 은 나중 2 세트의 웨이퍼들 (즉, 0.8 Torr에서 에칭을 겪는 웨이퍼들) 보다 제 2 증착 사이클에서 증착된 훨씬 보다 두꺼운 부가적인 텅스텐 층들을 갖는다. 도 8을 참조하여 상기 설명된 바와 같이, 보다 높은 압력 레벨들이 활성화된 에칭 종의 재결합 (예를 들어, 원자 불소를 분자 불소로) 을 발생시킬 수도 있고, 에칭 동안 특정한 정도로 상이한 화학 반응들을 발생시킬 수도 있다. 에칭 동안 상이한 압력 레벨들로 프로세싱된 발생되는 에칭된 층들은, 적어도 이들의 노출된 표면들에서 상이한 특성들, 예컨대 화학적 조성들 및/또는 물리적 구조들을 가질 수도 있다. 이는 결국 도 9에 도시된 바와 같이 나중에 증착된 텅스텐 층들의 증착에 영향을 준다. 구체적으로, 도 9는 보다 낮은 압력에서 및 보다 긴 시간 기간 동안의 에칭은 남아 있는 층을 보다 패시베이팅하고 이는 적어도 후속 층의 증착을 억제한다는 것을 증명한다. 동시에, 도 8로부터 입증된 바와 같이, 보다 낮은 압력 레벨들은 보다 공격적인 에칭에 대응한다. 압력과 에칭 지속기간의 조합은 초기 증착된 층의 완전한 제거 및 아래에 놓인 확산 배리어 층을 악화시키는 것을 방지하도록 신중하게 제어되어야 한다.
일부 패시베이션은 일반적으로 피처의 개구 근방에서 바람직하지만, 보다 덜 바람직하고, 특정한 실시예들에서, 피처 내부에서 회피되어야 한다. 상기 기술된 바와 같이, 일부 실시예들에서, 플라즈마는 피처 내에 증착된 재료를 에칭하고 그리고/또는 패시베이팅하도록 펄싱될 수도 있다. 패시베이션 양은 가변하는 조건들, 예컨대 상이한 플라즈마 전력들, 플라즈마 펄스 길이들, 및 듀티 사이클로 플라즈마를 펄싱함으로써 제어될 수도 있다. 예를 들어, 일부 실시예들에서, 플라즈마의 펄스들은 보다 많은 패시베이션을 허용하도록 불소 종보다 많은 질소 종을 포함할 수도 있다. 특정한 프로세스 조건들에서 고 종횡비 피처들은, 남아 있는 층이 피처 내부보다 개구 근방에서 보다 패시베이팅되도록 에칭 동안 차동적으로 패시베이팅된다는 것을 알았다. 어떠한 이론에도 매이지 않고, 보다 낮은 압력 레벨들에서의 에칭은, 고 종횡비 피처들 내 질량 이송 제한 조건들을 발생시킬 수도 있고, 여기서 보다 높은 농도들의 활성화된 종이 피처 내부보다 피처들의 개구들 근방에 존재한다. 일부 활성화된 에천트 종은 개구 근방의 층을 에칭하는 동안 소모되는 한편, 일부 다른 활성화된 종은 피처들 내로 확산하는 동안 재조합된다.
피처들의 개구들 근방에서의 고른 패시베이션은, 이들 영역들에서 과도한 패시베이션을 방지하도록 신중하게 제어되어야 하고 피처를 완전히 충진하고 피처를 폐쇄하기 위해 후속 동작들 동안 충분한 증착을 허용해야 한다. 이러한 고려사항이 도 10 및 도 11에 반영되었다. 구체적으로 도 10은 초기 텅스텐 증착 이어서 3 번의-제 2 에칭 및 이어서 부가적인 텅스텐 증착 후의 30-㎚ 피처의 단면 SEM (Scanning Electron Microscopy) 이미지를 도시한다. 이 도면의 상단 영역은 충진되지 않은 채로 남지만 하단 영역은 완전히 충진된다. 보다 이른 피처의 폐쇄 및 심의 형성을 회피하기 위해 차동 패시베이션에 의해 유발된 점진적인 보텀-업 충진이 바람직하지만, 과도한 패시베이션은 도 10에 나타낸 바와 같이 충진되지 않은 피처들을 발생시킬 수도 있고, 이는 바람직하지 않을 수도 있고 용인되지 않을 수도 있다. 도 11은 동일한 초기 텅스텐 증착 이어서 1 번의-제 2 에칭 및 이어서 동일한 부가적인 텅스텐 증착 후 또 다른 30-㎚ 피처의 단면 SEM 이미지를 도시한다. 이 피처의 상단 부분은 완전히 충진되었다. 일부 경우들에서, 피처들의 개구 근방에서의 일부 패시베이션은 바람직하지만, 과-패시베이션 (over-passivation) 은 방지된다.
이들 고려사항들의 관점에서, 프로세스 조건들은 실질적으로 보이드 프리 방식으로 고 종횡비 피처들을 완전히 충진하는 것과 같은, 바람직한 프로세싱 결과들을 달성하도록 구체적으로 튜닝될 수도 있다. 예를 들어, 일부 실시예들에서, 플라즈마 펄싱 동안 프로세스 조건들은 플라즈마 전력, 플라즈마 펄스 길이, 듀티 사이클, 펄싱 사이클들의 수, 등을 조절하는 것을 수반할 수도 있다. 이들 조건들 중 일부는 5 Torr 미만, 또는 2 Torr 미만, 또는 심지어 1 Torr 미만의 압력에서 제거 동작을 수행하는 것을 포함한다. 특정한 실시예들에서, 압력은 약 0.1 Torr 내지 5 Torr 또는, 보다 구체적으로 약 0.5 Torr 내지 3 Torr로 유지된다. 에칭 동작의 지속기간은 일반적으로 초기 층의 두께에 따라 결정되고, 이는 결국 피처의 폐쇄를 방지하기 위해 피처 사이즈의 약 1/2 미만으로 유지된다. 예를 들어, 30-㎚ 피처들을 포함하는 기판 표면 위에 증착된 초기 층은 일반적으로 15 ㎚ 미만이다. 이러한 층은, 아래에 놓인 어떠한 층들도 손상시키지 않고 적어도 약 1 초 또는 보다 구체적으로 적어도 약 3 초, 또는 심지어 적어도 약 5 초 동안 에칭될 수도 있다. 구체적인 실시예들에서, 에칭 동작의 지속기간은 약 1 내지 10 초 또는, 훨씬 보다 구체적으로, 약 3 내지 5 초이다. 에칭 조건들은 또한 남아 있는 층 및 피처의 사이즈를 참조하여 기술될 수도 있다. 특정한 실시예들에서, 남아 있는 층은 피처 개구의 10 % 미만의 두께를 갖는다.
특정한 실시예들에서, 기판은 증착 동작 (203) 동안 폐쇄되고 선택적인 제거 동작 (205) 동안 폐쇄된 채로 남아 있는 하나 이상의 피처들을 포함할 수도 있다. 예를 들어, 기판은 작은 사이즈 피처, 중간 사이즈 피처, 및 큰 피처들을 포함할 수도 있다. 일부 작은 피처들은 초기 증착 동작 동안 폐쇄될 수도 있고 다시 개방되지 않을 수도 있다. 중간 사이즈 피처들은 나중의 사이클들 동안 폐쇄될 수도 있고 다른 보다 큰 피처들이 충진되는 동안 폐쇄된 채로 남을 수도 있다. 특정한 실시예들에서, 피처들은 기판들의 상이한 수직 레벨들, 예를 들어, 듀얼-다마신 배열들로 존재할 수도 있다. 보다 낮은 레벨들의 피처들은 보다 높은 레벨들의 피처들보다 빨리 폐쇄될 수도 있다.
특정한 실시예들에서, 증착 동작 (203) 은 일시적으로만 피처를 폐쇄할 수도 있다. 이하에 기술된 동작 (213) 과 같은, 마지막 충진 동작 동안 피처를 폐쇄하는 것과 달리, 또는 상기 기술된 상이한 사이즈들 및 수직 위치들의 복수의 피처들을 사용하는 상황에서, 이 일시적인 폐쇄 동안 심은 여전히 허용불가능하게 크거나 필드 영역에 너무 가까이에서 시작될 수도 있다. 이들 실시예들에서, 선택적인 제거 동작 (205) 은 동작 (205) 의 제 1 부분이 피처를 재오픈하도록 사용되고 이어서 동작 (205) 의 다음 부분이 증착된 재료의 선택적인 제거를 위해 사용되는 방식으로 설계될 수도 있다. 이들 2 부분들의 프로세스 조건들은 동일하거나 상이할 수도 있다. 예를 들어, 에천트 플로우 레이트는 동작 (205) 의 제 1 부분 동안 보다 높을 수도 있고 나중에 피처가 개방될 때 감소될 수도 있다.
증착 동작 (203) 및 선택적인 제거 동작 (205) 을 포함하는 증착-제거 사이클은 결정 블록 (207) 으로 나타낸 바와 같이 1 회 이상 반복될 수도 있다. 예를 들어, 1 사이클 후, 특히 큰 오버행들을 갖는 작은 피처들 내에서 바람직한 단차 커버리지를 달성하는 것은 어려울 수도 있다. 결정 블록 (207) 에서 또 다른 사이클을 진행할 지 여부에 대한 고려 사항들은 오버행 사이즈, 피처 사이즈, 피처 종횡비, 피처 보잉, 뿐만 아니라 심 사이즈 및 심 위치 요건들을 포함한다.
특정한 실시예들에서, 다음 사이클의 하나 또는 두 동작들을 위한 프로세스 파라미터들은 변화될 수도 있다 (블록 209). 예를 들어, 초기 사이클 동안 순 (net) 증착은, 증착된 층이 여전히 박층이고 에칭 동안 오염 위험이 높기 때문에 나중의 사이클들보다 커야 할 수도 있다. 동시에, 캐비티는 초기에 보다 많이 개방되고 폐쇄 위험은 보다 낮다. 예를 들어, 부분적으로 제조된 기판 상에 증착된 텅스텐 함유 재료들의 양들에 대한 보다 큰 제어를 달성하기 위해 초기 증착 사이클들은 보다 낮은 증착 레이트들로 수행될 수도 있다 (예를 들어, 보다 낮은 온도들 및/또는 챔버 압력으로 구동됨). 보다 느린 레이트들은, 특정한 피처 타입들, 특히 작은, 고 종횡비 피처들을 위해 필요할 수도 있는 상기 기술된 바와 같은 보다 컨포멀한 증착을 야기할 수도 있다. 후속 증착 사이클들은, 증착된 두께에 대한 제어가 보다 덜 중요할 수도 있고 그리고/또는 이전의 증착-에칭 사이클들이 캐비티들이 조급하게 폐쇄되지 않을 것 같은 방식으로 이들 캐비티들의 프로파일들을 이미 수정하였을 수도 있기 때문에 보다 빠른 증착 레이트들에서 수행될 수도 있다 (예를 들어, 보다 높은 온도들 및/또는 챔버 압력으로 구동됨). 다른 실시예들에서, 나중의 사이클들의 증착 동작은 남아 있는 캐비티들이 보다 작고 조급하게 폐쇄되기 쉬울 수도 있기 때문에 보다 느린 증착 레이트로 수행될 수도 있다. 유사하게, 에칭 프로세스 조건들은 일 사이클로부터 또 다른 시작으로, 예를 들어, 보다 덜 공격적인 에칭 조건들로 수정될 수도 있고, 한편, 증착된 층들이 여전히 얇고 결국 보다 공격적인 에칭 조건들에 의지한다.
도 3을 다시 참조하면, 단면 (331) 은 선택적인 제거 후의 피처를 도시한다. 따라서, 단면들 (321 및 331) 은 제 1 사이클 또는 보다 일반적으로 초기 사이클들 중 하나를 나타낼 수도 있다. 이 사이클 동안 증착된 층 (323) 은 다양한 심 형성 요인들, 예컨대 오버행 (315) 을 완전히 보상하거나 오프셋하기에 너무 얇을 수도 있다. 예를 들어, 선택적인 제거 동작 후 단면 (331) 에 도시된 캐비티는 여전히 피처 내부보다 개구 근방에서 보다 좁다. 특정한 실시예들에서, 이 차이 (얼마나 보다 좁은지) 는 충분히 작을 수도 있고 프로세스는 증착-제거 사이클을 반복하지 않고 마지막 충진 동작으로 계속된다.
단면들 (341 및 351) 은 나중의 사이클들 동안 및 후의 기판 (303) 을 예시한다. 먼저, 단면 (341) 은 에칭된 층 (333) 위에 형성된 새로 증착된 층 (343) 을 도시한다. 층 (343) 을 갖는 피처는 이전의 사이클들 동안 달성된 보다 우수한 단차 커버리지를 반영한 개선된 프로파일을 가질 수도 있다. 그러나, 캐비티의 프로파일은 여전히 마지막 충진으로 진행하는 것을 허용하지 않을 수도 있고, 이 캐비티를 더 성형하기 위해 또 다른 에칭 동작이 필요할 수도 있다. 단면 (351) 은 충진을 완료하기 위한 마지막 증착 전 스테이지의 기판 (303) 을 나타낸다. 캐비티는 피처 내부보다 개구 근방에서 보다 넓다. 특정한 실시예들에서, 새로 증착된 층의 단차 커버리지는 초기에 증착된 층의 단차 커버리지보다 적어도 약 10 % 더 크고, 또는 보다 구체적인 실시예들에서, 적어도 약 20 % 더 크거나 적어도 약 30 % 더 크다.
도 2를 다시 참조하면, 특정한 실시예들에서, 증착 동작 (203) 및 선택적인 제거 동작 (205) 은 블록 (204) 으로 나타낸 바와 같이 동시에 수행될 수도 있다. 예를 들어, 전구체 및 에천트는, 증착 및 에칭 반응들 양자가 동시에 일어나게 하는 동시에 프로세싱 챔버 내로 흐를 수도 있다. 개구 근방보다 피처 내부에서 보다 큰 순 증착을 달성하기 위해, 적어도 초기에, 에천트 및 텅스텐-함유 전구체의 플로우 레이트들은, 에칭 반응이 질량 이송 제한되고 따라서 에천트 농도에 따르도록, 될 수도 있다. 동시에, 증착 반응은 질량 이송 제한되지 않고 피처 내부 및 개구에서 거의 동일한 레이트들로 진행된다. 에천트 또는 전구체 플로우 레이트 또는 양자는 동작 (204) 동안 (예를 들어, 점진적으로 또는 단계적인 방식으로) 조정될 수도 있고, 일부 지점에서 프로세싱 챔버 내로 에천트 플로우가 불연속적일 수도 있다. 이 때, 프로세스는 이하에 기술된 마지막 충진 동작 (213) 으로 전이할 수도 있다.
피처를 부분적으로 충진하고 피처 프로파일을 성형하기 위해, 1 회 이상의 증착-제거 사이클들이 수행된 후에 이어서 프로세스는 마지막 충진 동작 (213) 으로 계속될 수도 있다. 이 동작은 일부 양태들에서 증착 동작 (203) 과 유사할 수도 있다. 주요 차이점은 동작 (213) 은 피처가 완전히 폐쇄될 때까지 진행되고 피처를 개방하기 위한 에칭 동작이 이어지지 않는다는 것이다. 다시 도 3을 참조하면, 단면 (361) 은 마지막 충진 동작 후 기판 (303) 의 예를 도시한다. 특정한 실시예들에서, 피처는 여전히 심 (363) 을 갖지만, 심은 도 1에 예시된 것과 같은 종래에 충진된 피처에서보다 작고 그리고 필드 영역으로부터 더 이격되어 위치된 기준 지점을 갖는다. 특정한 실시예들에서, 심 (363) 은 피처의 깊이에 대해 필드 영역으로부터 적어도 약 20 %에서 종단된다 (즉, DFET에 대한 DREF의 비는 적어도 약 20 %).
또 다른 실시예에서, 피처들은 개구 근방보다 피처들 내부에 보다 많은 텅스텐을 증착함으로써 충진된다. 피처 내의 위치 (예를 들어, 개구 근방 또는 피처 내부) 에 따라 상이한 레벨들로 표면 상에 텅스텐-함유 재료들이 증착되는 것을 억제함으로써 차동 증착 레이트들이 달성될 수도 있다. 구체적으로, 개구 근방 표면은 피처 내부 표면보다 억제될 수도 있다. 특정한 실시예에서, 억제제는 증착 동작 전에 프로세싱 챔버 내로 도입된다. 피처의 노출된 표면은 에칭의 맥락에서 상기 기술된 바와 유사하게 질량 이송 제한된 레짐으로 이 억제제로 처리된다. 그러나, 에칭 동작과 달리 억제 동안 표면으로부터 재료가 제거되지 않는다 (즉, 순 에칭 없음). 예를 들어, 특정한 프로세스 조건들에서 증착된 층의 불소계 에칭은 남아 있는 에칭된 층의 표면 상에 (예를 들어, 특정한 텅스텐 불화물들을 함유하는) 잔여물의 형성을 야기할 수도 있다. 이들 잔여물들은 후속하는 증착 동작에서 억제제로서 작용할 수도 있다. 또한, 특정한 프로세스 조건들에서 증착된 층으로부터 재료들의 순 증착이 일어나지 않을 수도 있지만, 증착된 층은 피처 내부보다 개구 근방에서 보다 일반적인 억제층을 형성한다. 상이한 증착 레이트들을 사용하여 피처를 충진하는 것은 상기 기술된 증착-제거 동작들과 함께 또는 대신 수행될 수도 있다.
장치
임의의 적합한 챔버가 본 신규한 방법을 구현하는데 사용될 수도 있다. 증착 장치들의 예들은 예를 들어 미국 캘리포니아 산호세 소재의 Novellus Systems, Inc. 사로부터 입수가능한 ALTUS 및 ALTUS Max와 같은 다양한 시스템들 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다.
도 4a는 특정 실시예들에 따른 부분적으로 제조된 반도체 기판을 프로세싱하기 위한 장치 (400) 의 개략적인 표현을 예시한다. 장치 (400) 는 페데스탈 (420), 샤워헤드 (414) 를 갖는 챔버 (418), 및 인-시츄 플라즈마 생성기 (416) 를 포함한다. 장치 (400) 는 또한 다양한 디바이스들로 제어 신호들을 공급하고 그리고/또는 다양한 디바이스들로부터 입력을 수신하기 위한 시스템 제어기 (422) 를 더 포함한다.
특정 실시예들에서, 에천트 및 불활성 가스들, 예컨대 아르곤, 헬륨 및 기타 등이 저장 탱크일 수도 있는 소스 (402) 로부터 리모트 플라즈마 생성기 (406) 로 공급된다. 임의의 적합한 리모트 플라즈마 생성기는 에천트가 챔버 (418) 내로 도입되기 전에 에천트를 활성화하기 위해서 사용될 수도 있다. 예를 들어, 모두가 미국 매사추세츠 엔도버 소재의 MKS Instruments 사로부터 입수가능한, ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645와 같은 RPC (리모트 플라즈마 세정) 유닛들이 사용될 수도 있다. RPC 유닛은 통상적으로 공급된 에천트를 사용하여 약하게 이온화된 플라즈마를 생성하는 자기-수용형 디바이스 (self-contained device) 이다. RPC 유닛 내에 내장된 고 전력 RF 생성기가 플라즈마 내의 전자들에 에너지를 제공한다. 이어서 이 에너지는 중성 에천트 분자들로 전달되어서 약 2000 K의 온도가 되어 이러한 분자들의 열적 해리를 유발시킨다. RPC 유닛은 그의 고 RF 에너지 및 에천트가 이러한 에너지의 대부분을 흡수하게 하는 특정 채널 기하구조로 인해 들어오는 에천트 분자들의 60 퍼센트 이상을 해리시킬 수도 있다.
특정 실시예들에서, 에천트는 리모트 플라즈마 생성기 (406) 로부터 연결 라인 (408) 을 통해서 챔버 (418) 내로 흐르고, 이 챔버에서 샤워헤드 (414) 를 통해서 혼합물이 분배된다. 다른 실시예들에서, 에천트는 리모트 플라즈마 생성기 (406) 를 전적으로 거치지 않고 바로 챔버 (418) 내로 흐른다 (예를 들어, 시스템 (400) 은 이러한 생성기를 포함하지 않는다). 대안적으로, 예를 들어 에천트 활성화가 필요 없기 때문에, 에천트가 챔버 (418) 내로 흐르는 동안 리모트 플라즈마 생성기 (406) 가 턴 오프될 수도 있다.
샤워헤드 (414) 또는 페데스탈 (420) 은 통상적으로 그에 부착된 내측 플라즈마 생성기 (416) 를 가질 수도 있다. 일 예에서, 생성기 (416) 는 약 1 ㎒ 내지 100 ㎒ 주파수로 약 0 W 내지 10,000 W 전력을 제공할 수 있는 고 주파수 (HF) 생성기이다. 보다 구체적인 실시예에서, HF 생성기는 약 13.56 ㎒ 주파수로 약 0 W 내지 5,000 W 전력을 전달할 수도 있다. RF 생성기 (416) 는 초기 텅스텐 층의 제거를 향상시키도록 인-시츄 플라즈마를 생성할 수도 있다. 특정 실시예들에서, RF 생성기 (416) 는 프로세스의 제거 동작들 동안에 사용되지 않는다.
챔버 (418) 는 증착 정도, 에칭 정도, 농도, 압력, 온도 및 기타와 같은 다양한 프로세스 파라미터들을 감지하기 위한 센서 (424) 를 포함할 수도 있다. 센서 (424) 는 프로세스 동안의 챔버 상태들에 대한 정보를 시스템 제어기 (422) 에 제공할 수도 있다. 센서 (424) 의 예들은 MFC (mass flow controller), 압력 센서, 써모커플, 등을 포함한다. 센서 (424) 는 또한 챔버 내에서의 가스들의 존재를 모니터링하고 측정을 제어하기 위해서 적외선 검출기 또는 광학적 검출기를 포함할 수도 있다.
증착 및 선택적 제거 동작들은 챔버 (418) 로부터 배기되는 다양한 휘발성 종을 생성한다. 또한, 프로세싱은 챔버 (418) 내에서의 소정의 미리 결정된 압력 레벨들에서 수행된다. 이러한 기능들 모두는 진공 펌프일 수도 있는 진공 유출부 (426) 를 사용하여서 달성된다.
특정한 실시예들에서, 시스템 제어기 (422) 는 프로세스 파라미터들을 제어하도록 채용된다. 시스템 제어기 (422) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함한다. 이 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부들, 스텝퍼 모터 제어기 보드들 등을 포함할 수도 있다. 통상적으로, 시스템 제어기 (422) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드, 터치 스크린, 마이크로폰 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
특정한 실시예들에서, 시스템 제어기 (422) 는 기판 온도, 에천트 플로우 레이트, 리모트 플라즈마 생성기 (406) 의 전력 출력, 챔버 (418) 내부 압력 및 다른 프로세스 파라미터들을 제어한다. 시스템 제어기 (422) 는 타이밍, 가스들의 홉합물, 챔버 압력, 챔버 온도, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
프로세스 시퀀스의 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는: 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 종래의 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다. 시스템 소프트웨어는 많은 다른 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 기술된 프로세스들을 수행하는데 사용된 챔버 컴포넌트들의 동작들을 제어하도록 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 프로세스 가스 제어 코드, 압력 제어 코드 및 플라즈마 제어 코드를 포함한다.
제어기 파라미터들은 예를 들어, 동작 각각의 타이밍, 챔버 내부 압력, 기판 온도, 에천트 플로우 레이트들, 등과 같은 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (422) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치 (400) 의 아날로그 및 디지털 출력 접속부들 상에 출력된다.
도 4b는 개시된 특정한 실시예들에 따른 금속-함유 및/또는 텅스텐 재료들을 에칭하기 위해 사용될 수도 있는 또 다른 예시적인 플라즈마 반응기를 도시한다. 도 4b는 본 명세서의 특정한 실시예들을 구현하기 위해 적절한 유도 결합 플라즈마 에칭 장치 (490) 의 단면도를 개략적으로 도시하고, 이 예는 캘리포니아 프레몬트 소재의 Lam Research Corp.에 의해 생산된 ALTUS® Max ExtremeFill™ 반응기이다. ICP 반응기들이 본 명세서에 기술되지만, 일부 실시예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
유도 결합 플라즈마 장치 (490) 는 플라즈마를 점화하기 위해 챔버 벽들 (491) 및 돔 (492) 에 의해 구조적으로 형성된 전체적인 프로세스 챔버를 포함한다. 챔버 벽들 (491) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 플라즈마 생성을 위한 엘리먼트들은 돔 (492) 주위 그리고 샤워헤드 (495) 위에 위치된 코일 (494) 을 포함한다. 일부 실시예들에서, 코일은 개시된 실시예들에서 사용되지 않는다. 코일 (494) 은 전기적으로 도전성 재료로 제조되고 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 4b에 도시된 코일 (494) 의 예는 3 개의 턴들을 포함한다. 코일 (494) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하며 연장하는 한편, "●"을 갖는 코일들은 페이지로부터 회전하며 연장된다. 플라즈마 생성을 위한 엘리먼트들은 코일 (494) 로 RF 전력을 공급하도록 구성된 RF 전력 공급부 (441) 를 또한 포함한다. 일반적으로, RF 전력 공급부 (441) 는 연결부 (445) 를 통해 매칭 회로 (439) 에 연결된다. 매칭 회로 (439) 는 연결부 (443) 를 통해 코일 (494) 에 연결된다. 이러한 방식으로, RF 전력 공급부 (441) 는 코일 (494) 에 연결된다. 코일 (494) 을 통해 RF 전류가 흐르게 하도록 RF 전력은 RF 전력 공급부 (441) 로부터 코일 (494) 로 공급된다. 코일 (494) 을 통해 흐르는 RF 전류는 코일 (494) 을 중심으로 전자기장을 생성한다. 전자기장은 돔 (492) 내에 유도 결합 플라즈마를 생성한다. 다양한 생성된 이온들 및 라디칼들의 웨이퍼 (497) 와의 물리적 및 화학적 상호작용들은 반도체 기판 또는 웨이퍼 (497) 상의 피처들을 에칭한다.
유사하게, RF 전력 공급부 (441) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (441) 는 고 주파수 RF 전력 소스들 및 저 주파수 RF 전력 소스들을 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1 ㎒ 내지 2.45 ㎓, 또는 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 크거나, 27 ㎒보다 크거나, 40 ㎒보다 크거나, 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다.
RF 전력은 특정한 실시예들에 따라 수행된 에칭 동작 동안 램핑되고 그리고/또는 펄스되도록 프로그래밍될 수도 있다. 예를 들어, RF 전력은 ON 상태와 OFF 상태 사이에서 램핑될 수도 있고, OFF 상태 동안 RF 전력은 0 W이고 ON 상태 동안 RF 전력은 약 50 W 내지 약 3000 W이다. RF 전력은약 1 ㎐ 내지 약 400 ㎑, 또는 1 ㎐ 내지 약 100 ㎑, 또는 약 10 ㎐ 내지 약 100 ㎑, 또는 약 100 ㎐ 내지 약 10 ㎑의 주파수로 펄싱될 수도 있다. 듀티 사이클은 약 1 % 내지 약 99 % 또는 약 10 % 내지 약 90 %일 수도 있다. 펄스 동안 RF 전력의 ON 지속기간은 약 100 ㎳ 내지 약 10 초, 또는 약 100 ㎳ 내지 약 5 초일 수도 있다.
샤워헤드 (495) 는 프로세스 가스들을 기판 (497) 을 향해 분배한다. 도 4b에 도시된 실시예에서, 기판 (497) 은 샤워헤드 (495) 밑에 위치되고 페데스탈 (496) 상에 놓인 것으로 도시된다. 샤워헤드 (495) 는 임의의 적합한 형상을 가질 수도 있고, 프로세스 가스들을 기판 (497) 으로 분배하기 위해 임의의 적합한 수 및 배열을 가질 수도 있다.
페데스탈 (496) 은 에칭이 수행될 때 기판 (497) 을 수용하고 홀딩하도록 구성된다. 일부 실시예들에서, 페데스탈 (496) 은 기판 (497) 과 샤워헤드 (495) 사이의 볼륨에 기판 (497) 을 노출시키도록 상승 또는 하강될 수도 있다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (499) 에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
또 다른 시나리오에서, 페데스탈 (496) 의 높이를 조절하는 것은 프로세스에 포함된 플라즈마 활성화 사이클들 동안 플라즈마 밀도로 하여금 변하게 할 수도 있다. 프로세스 페이즈가 종결하면, 페데스탈 (496) 은 페데스탈 (496) 로부터 기판 (497) 의 제거를 허용하도록 또 다른 기판 전달 페이즈 동안에 하강될 수도 있다. 일부 실시예들에서, 샤워헤드 (495) 의 위치는 기판 (497) 과 샤워헤드 (495) 사이의 볼륨을 가변하도록 페데스탈 (496) 에 대해 조정될 수도 있다. 또한, 페데스탈 (496) 및/또는 샤워헤드 (495) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (496) 은 기판 (497) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들 (499) 에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
프로세스 가스들 (예를 들어 할로겐-함유 가스들, NF3, 아르곤, WF6, 질소, 등) 은 돔 내에 위치된 하나 이상의 주 가스 플로우 유입부들 (493) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입부들 (미도시) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입부들이 용량 결합 플라즈마 프로세싱 챔버로 프로세스 가스들을 공급하도록 사용될 수도 있다. 용량 결합 플라즈마 프로세싱 챔버에 대한 일부 실시예들에서, 가스는 샤워헤드의 중심 및/또는 에지를 통해 샤워헤드를 통해 주입될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 스테이지 기계식 건조 펌프 및/또는 터보분자 펌프 (498a) 는 프로세스 챔버 (491) 로부터 프로세스 가스들을 인출하고 프로세스 챔버 (491) 내 압력을 유지하도록 사용될 수도 있다. 밸브-제어된 도관은 진공 펌프에 의해 제공된 진공 분위기의 인가를 선택적으로 제어하기 위해 프로세스 챔버 (491) 에 진공 펌프를 유체적으로 연결하도록 사용될 수도 있다. 이는 동작가능한 플라즈마 프로세싱 동안, 쓰로틀 밸브 (미도시) 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용함으로써 이루어질 수도 있다. 유사하게, 진공 펌프 및 용량 결합된 플라즈마 프로세싱 챔버로의 밸브 제어된 유체 연결이 또한 채용될 수도 있다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (498b) 를 통해 프로세스 챔버 (491) 로부터 제거될 수도 있다.
일부 실시예들에서, 시스템 제어기 (499) (하나 이상의 물리적 제어기 또는 논리적 제어기를 포함할 수도 있는) 는 프로세스 챔버 (491) 의 일부 또는 모든 동작을 제어한다. 시스템 제어기 (499) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시예들에서, 장치 (490) 는 개시된 실시예들이 수행될 때 플로우 레이트들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시예들에서, 장치 (490) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 흐르는 화학물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 따를 수도 있다.
일부 구현예들에서, 시스템 제어기 (499) 는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 시스템 제어기 (499) 에 통합될 수도 있다. 시스템 제어기 (499) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기 (499) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (499) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (499) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기 (499) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
멀티-스테이션 장치
도 5a는 멀티-스테이션 장치 (500) 의 예를 도시한다. 장치 (500) 는 프로세스 챔버 (501) 및 프로세싱될 기판들 및 프로세싱이 완료된 기판들을 홀딩하기 위한 하나 이상의 카세트들 (503) (예를 들어, FOUP (Front Opening Unified Ports)) 을 포함한다. 챔버 (501) 는 다수의 스테이션들, 예를 들어, 2 개의 스테이션들, 3 개의 스테이션들, 4 개의 스테이션들, 5 개의 스테이션들, 6 개의 스테이션들, 7 개의 스테이션들, 8 개의 스테이션들, 10 개의 스테이션들, 또는 임의의 다른 수의 스테이션들을 가질 수도 있다. 스테이션들의 수는 보통 프로세싱 동작들의 복잡도에 의해 결정되고, 다수의 이들 동작들은 공유된 분위기에서 수행될 수 있다. 도 5a는 511 내지 516으로 라벨링된 6 개의 스테이션들을 포함하는 프로세스 챔버 (501) 를 예시한다. 단일 프로세스 챔버 (501) 를 갖는 멀티-스테이션 장치 (500) 내 모든 스테이션들은 동일한 압력 환경에 노출된다. 그러나, 스테이션 각각은 지정된 반응물질 분배 시스템 및 도 4a 및 도 4b에 예시된 것들과 같은 전용 플라즈마 생성기 및 페데스탈에 의해 달성된 로컬 플라즈마 및 가열 조건들을 가질 수도 있다.
프로세싱될 기판은 카세트들 (503) 중 하나로부터 로드-록 (505) 을 통해 스테이션 (511) 내로 로딩된다. 외부 로봇 (507) 이 카세트 (503) 로부터 그리고 로드-록 (505) 내로 기판을 이송하기 위해 사용될 수도 있다. 도시된 실시예들에서, 2 개의 개별 로드-록들 (505) 이 존재한다. 이들은 통상적으로 (일단 압력이 프로세스 챔버 (501) 의 내부 분위기에 대응하는 압력과 평형 상태가 되면) 기판들을 로드-록 (505) 으로부터 스테이션 (511) 으로 그리고 프로세싱 챔버 (501) 로부터 제거할 기판을 스테이션 (516) 으로부터 로드-록 (505) 으로 이동시키는 기판 이송 디바이스들을 구비한다. 기판들을 프로세싱 스테이션들 (511 내지 516) 사이에서 이송하고 이하에서 기술되는 프로세스 동안에 기판들 중 일부를 지지하도록 내부 로봇 (509) 이 사용된다.
특정한 실시예들에서, 하나 이상의 스테이션들이 기판을 가열하기 위해서 예비될 수 있다. 이러한 스테이션들은 기판 위에 위치한 가열 램프 (미도시) 및/또는 도 4a 및 도 4b에 도시된 것과 유사한 기판을 지지하는 가열 페데스탈을 가질 수도 있다. 예를 들어, 스테이션 (511) 은 로드-록으로부터 기판을 수용하고 기판이 더 프로세싱되기 이전에 기판을 예열하는데 사용될 수도 있다. 다른 스테이션들이 증착 및 선택적인 제거 동작들을 포함하여 고 종횡비 피처들을 충진하는데 사용될 수도 있다.
기판이 스테이션 (511) 에서 가열되거나 이와 달리 처리된 후에, 기판은 순차적으로 배열되거나 그렇지 않을 수도 있는 프로세싱 스테이션들 (512, 513, 514, 515 및 516) 로 연속적으로 이동한다. 멀티-스테이션 장치 (500) 는 모든 스테이션들이 동일한 압력 분위기에 노출되도록 구성된다. 그렇게 함으로써, 기판들이 로드-록들과 같은 이송 포트들을 필요로 하지 않고 스테이션 (511) 으로부터 챔버 (501) 내의 다른 스테이션들로 이송된다.
내부 로봇 (509) 은 스테이션들 (511 내지 516) 사이에서 기판들을 이송하도록 사용된다. 로봇 (509) 은 프로세싱 스테이션 각각에 대해 (스테이션들 사이에서 연장하는 것으로 도시된) 적어도 하나의 암을 갖는 핀 (fin) 을 포함한다. 프로세싱 스테이션들에 인접한 암의 단부에, 이들은 측면 각각에 2 개의 핑거들을 갖는 암으로부터 연장하는 4 개의 핑거들이 있다. 이들 핑거들은 프로세싱 스테이션들 내에서 기판을 리프팅하거나, 하강시키거나, 포지셔닝하도록 사용된다. 예를 들어, 일 실시예에서, 멀티-스테이션 장치가 6 개의 프로세싱 스테이션들을 포함할 때, 스핀들 어셈블리는 일 핀 상에 6 개의 암들을 갖는 6 암 회전 어셈블리이다. 예를 들어, 도면들에 도시된 바와 같이 스핀들 어셈블리의 핀은 6 개의 암들을 포함하고, 암 각각은 4 개의 핑거들을 갖는다. 4 개의 핑거들의 세트, 즉, 제 1 암 상의 2 개의 핑거들 및 인접한 제 2 암 상의 2 개의 핑거들은 일 스테이션으로부터 또 다른 스테이션으로 기판을 리프팅, 포지셔닝 및 하강시키도록 사용된다. 이러한 방식으로, 장치에 페데스탈 당, 스테이션 당 그리고 기판 당 4 개의 핑거들이 제공된다.
특정한 실시예들에서, 텅스텐 함유 재료들로 피처들을 충진하는데 하나 이상의 스테이션들이 사용될 수 있다. 예를 들어, 스테이션 (512) 이 초기 증착 동작을 위해서 사용될 수도 있고, 스테이션 (513) 이 대응하는 선택적 제거 동작을 위해서 사용될 수도 있다. 증착-제거 사이클이 반복되는 실시예들에서, 스테이션 (514) 이 또 다른 증착 동작들을 위해서 사용될 수도 있고, 스테이션 (515) 이 또 다른 부분적 제거 동작을 위해서 사용될 수도 있다. 스테이션 (516) 은 최종 충진 동작을 위해서 사용될 수도 있다. 특정 프로세스들 (가열, 충진 및 제거) 에 대한 스테이션 지정에 관한 임의의 구성들이 사용될 수도 있다는 것이 이해되어야 한다.
상술한 멀티-스테이션 장치에 대한 대안으로서, 방법이 배치 (batch) 모드 (즉, 비-순차적 모드) 로 단일 프로세싱 스테이션에서 기판(들)을 프로세싱하는 단일 기판 챔버 또는 멀티-스테이션 챔버로 구현될 수도 있다. 개시된 실시예들의 이러한 양태에서, 기판은 챔버 내로 로딩되고 (장치가 오직 하나의 프로세싱 스테이션만을 갖는 장치든지 배치 모드로 실행되는 멀티-스테이션들을 갖는 장치이든지 상관없이) 단일 프로세싱 스테이션의 페데스탈 상에 위치된다. 이어서, 기판이 가열될 수도 있고 증착 동작이 수행될 수도 있다. 이어서 챔버 내의 프로세스 조건들이 조절될 수도 있고 이어서 증착된 층의 선택적 제거가 수행된다. 프로세스는 하나 이상의 증착-제거 사이클들 및 모두 동일한 스테이션 상에서 수행된 최종 충진 동작으로 계속될 수도 있다. 이와 달리, 복수의 웨이퍼들에 대하여 신규한 방법의 동작 (예를 들어, 증착, 선택적 제거, 처리, 최종 충진) 중 하나만을 수행하도록 먼저 단일 스테이션 장치가 사용될 수도 있고 이후에 나머지 동작들 중 하나 이상을 수행하기 위해서 기판들이 동일한 스테이션으로 다시 돌아가거나 상이한 스테이션 (예를 들어, 상이한 장치의 스테이션) 으로 이동될 수도 있다.
멀티-챔버 장치
도 5b는 특정한 실시예들에 따라 사용될 수도 있는 멀티-챔버 장치 (520) 의 개략적인 예시이다. 도시된 바와 같이, 장치 (520) 는 3 개의 개별 챔버들 (521, 523, 및 525) 을 갖는다. 이들 챔버들 각각은 2 개의 페데스탈들을 갖는 것으로 예시된다. 장치는 임의의 수의 챔버들 (예를 들어, 1, 2, 3, 4, 5, 6, 등) 을 가질 수도 있고 챔버 각각은 임의의 수의 챔버들 (예를 들어, 1, 2, 3, 4, 5, 6, 등) 을 가질 수도 있다는 것이 이해되어야 한다. 챔버 (521 내지 525) 각각은 챔버들 사이에서 공유되지 않은, 고유의 압력 분위기를 갖는다. 챔버 각각은 하나 이상의 대응하는 이송 포트들 (예를 들어, 로드-록들) 을 가질 수도 있다. 장치는 또한 이송 포트들과 하나 이상의 카세트들 (529) 간에서 기판들을 이송하기 위한 공유형 기판 핸들링 로봇 (527) 을 가질 수도 있다.
상술한 바와 같이, 개별 챔버들은 텅스텐 함유 재료들을 증착하고 이후 동작들에서 이러한 증착된 재료들의 선택적 제거를 위해서 사용될 수도 있다. 이러한 2 개의 동작들을 상이한 챔버들로 분할하는 것은 챔버 각각에서 동일한 분위기 조건들을 유지함으로써 프로세싱 속도들을 실질적으로 개선하는데 도움이 될 수 있다. 달리 말하면, 챔버가 그의 분위기를 증착용 조건에서 선택적 제거용 조건으로 또는 역으로 변화시킬 필요가 없는데, 이러한 조건들은 상이한 전구체들, 상이한 온도들, 압력들 및 다른 프로세스 파라미터들을 수반할 수도 있다. 특정한 실시예들에서, 이러한 2 개 이상의 상이한 챔버들의 분위기 조건들을 변경하는 것보다 이러한 챔버들 사이에서 부분적으로 제조된 반도체 기판들을 이송하는 것이 더 신속하다.
패터닝 방법/장치:
본 명세서에 상기 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
실험
실험 1
증착된 재료들 및 발생되는 심의 선택적인 제거에 대한 상이한 프로세스 조건들의 효과들을 결정하기 위해 일련의 실험들이 수행되었다. 기판 온도를 상승시키고 에천트 플로우 레이트를 감소시키는 것은 피처 내부보다 개구 근방에서 보다 많은 재료의 에칭을 발생시키는 피처 내부에서 질량-이송 제한된 에칭을 야기할 수 있다.
일 실험에서, 상이한 에칭 조건들 및 이들의 단차 커버리지에 대한 효과들이 평가되었다. 단면에 대략 250 ㎚의 개구들을 갖고 대략 10:1의 종횡비를 갖는 피처들을 갖는 기판들이 사용되었다. 피처들은 먼저 아르곤 및 수소 분위기에서 약 395 ℃의 기판 온도, 약 200 sccm의 불화 텅스텐 (WF6) 의 플로우 레이트에서, 텅스텐으로 부분적으로 충진되었다. 이어서 몇몇 기판들은 피처들 내의 텅스텐 분포를 분석하기 위해 절단된다 (cross-sectioned). 층이 개구들 주변 (평균 약 639 Å 두께) 보다 피처들 내부 (평균 약 862 Å 두께) 에서 약간 보다 얇고, 이는 약 62 %의 단차 커버리지를 야기한다는 것을 알았다.
남아 있는 기판들은 2 개의 그룹들로 분할되었다. 제 1 그룹의 기판들은 기준 프로세스 조건들: 대략 8 Torr의 챔버 압력, 대략 350 ℃의 기판 온도, 대략 2,000 sccm의 삼불화 질소 (NF3) 의 플로우 레이트, 대략 4 초의 에칭 지속기간을 사용하여 에칭되었다. 이 그룹으로부터 몇몇 기판들은 피처들 내의 텅스텐 분포를 더 분석하기 위해 절단되었다. 약 107 %의 단차 커버리지에 대해, 개구 두께 (개구 근방의 텅스텐 층의 두께) 는 평균 약 497 Å인 반면, 내부 두께는 평균 약 464 Å이라고 결정되었다.
웨이퍼들의 제 2 그룹은 상이한 ("개선된") 프로세스 조건들을 사용하여 에칭되었다. 이들 새로운 조건들은 피처 내부에서 에칭하는 것을 질량-이송 제한된 레짐으로 푸시 (push) 하고, 따라서 단차 커버리지를 훨씬 보다 많이 개선하는 것으로 믿어진다. 기판 온도는 대략 395 ℃로 상승되는 한편, 에천트 플로우 레이트는 대략 400 sccm으로 감소되었다. 에칭은 대략 12 초 동안 약 2 Torr로 유지된 챔버 내에서 수행되었다. 남아 있는 에칭된 층은 개구들 근방 (평균 약 344 Å 두께) 보다 피처들 내부 (평균 약 555 Å 두께) 에서 상당히 보다 두껍다. 계산된 단차 커버리지는 약 161 %이다.
도 6a는 상기 실험에서 사용된 것과 유사한 피처 (601) 가 형성된 텅스텐-함유 층 (605) 을 갖는 부분적으로 제작된 반도체 기판 (603) 내에 제공된 피처 (601) 의 개략적인 표현이다. 피처는 또한 층 두께 측정들의 상이한 지점들을 명시한다. 도 6b는 2 개의 상이한 조건들에 대한 에칭 전 그리고 에칭 후의 상기 기술된 실험에 대한 텅스텐-함유 층의 두께 분포의 그래프를 예시한다. 이 그래프의 수평 축은 도 6a에 예시된 지점들을 측정하는 것에 대응한다. 그래프에 제공된 값들은 필드 영역 (지점 1 내지 지점 16) 상의 각각의 값들로 정규화된다. 하단의 얇은 선 (607) 은 임의의 에칭 전에 피처 내의 두께 분포를 나타낸다. 이 선은 일반적으로 증착 후 개구 근방보다 피처 내부에서 약간 보다 얇다. 중간의 두꺼운 선 (609) 은 기준 에칭 조건들로 에칭된 기판들에 대한 두께 분포를 나타낸다. 이 분포는 선 (607) 으로 나타낸 것보다 약간 보다 큰 단차 커버리지를 나타낸다. 마지막으로, 상단의 얇은 선 (611) 은 "개선된" 조건들을 사용하여 에칭된 텅스텐의 분포를 나타낸다. 이는 실질적으로 개선된 단차 커버리지를 드러낸다. 가장 낮은 (가장 깊은) 측정된 지점들 (지점들 8, 9, 및 10, 피처의 하단부로부터 피처의 깊이의 대략 30 내지 40 %) 에서 측정된 두께는 필드 영역 (지점들 1, 2, 15, 및 16) 근방에서의 두께보다 거의 2 배 보다 크다.
실험 2
기판 상의 피처들을 충진하기 위한 실험이 수행되었다. 일 기판은, 텅스텐-함유 전구체를 사용하여 텅스텐을 증착하고, 피처의 상단부들에서 텅스텐을 선택적으로 제거하기 위해 기판을 연속적인 플라즈마 및 NF3에 노출시키고, 그리고 텅스텐으로 피처의 나머지를 충진하는 증착 동안 연속적인 플라즈마에 노출되었다. 제 2 기판은, 텅스텐-함유 전구체를 사용하여 텅스텐을 증착하고, 기판을 30 %의 듀티 사이클을 갖는 10 ㎑의 펄싱 주파수에서 펄싱된 플라즈마 및 NF3에 노출시키고, 그리고 텅스텐으로 피처의 나머지를 충진함으로써 증착을 겪는다.
제 1 기판에 대한 핵생성 지연은 약 40 초인 반면, 제 2 기판에 대한 지연은 0 초였다. 플라즈마에 대한 노출 후 나머지 질소 및 불소의 양은 두 기판들에 대해 결정되었고, 플라즈마 노출로부터 에칭 두께 불균일도가 또한 결정되었다. 갭 충진 양은 이미지로 관찰되었다. 결과들은 이하의 표 1에 나타냈다.
연속적인 플라즈마 대 펄싱된 플라즈마
속성 제 1 기판
(연속적인 플라즈마)
제 2 기판
(펄싱된 플라즈마)
증착 지연 ~40 초 0 초
나머지 N (평균 N/W) 16 % 8 %
나머지 F (평균 F/W) 6 % 4 %
갭 충진 우수 보통
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 개시된 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 개시된 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (7)

  1. 갭을 갖는 기판 위에 막을 증착하는 방법에 있어서, 상기 방법은
    전구체 가스를 공급함으로써 기판 상에 막을 증착하기 위한 증착 프로세스를 수행하는 단계로서, 상기 증착 프로세스 동안 공급되는 상기 전구체 가스의 양은 조정되는, 상기 증착 프로세스를 수행하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 증착 레이트는 상기 증착 프로세스가 진행됨에 따라 감소되는, 방법.
  3. 제 1 항에 있어서,
    상기 증착 레이트는 상기 증착 프로세스 동안 연속적으로 조정되는, 방법.
  4. 제 1 항에 있어서,
    상기 증착 레이트는 상기 증착 프로세스 동안 단계적으로 조정되는, 방법.
  5. 제 1 항에 있어서,
    상기 피처의 내부보다 상기 갭의 개구 근방에 더 많은 재료가 증착되는, 방법.
  6. 제 1 항에 있어서,
    상기 갭은 금속 라인을 위한 충진되지 않은 트렌치인, 방법.
  7. 제 1 항에 있어서,
    상기 전구체 가스의 양의 상기 조정은 상기 증착 프로세스의 일부 동안에만 일어나는, 방법.
KR1020230159550A 2015-08-21 2023-11-16 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱 KR20230161400A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562208527P 2015-08-21 2015-08-21
US62/208,527 2015-08-21
US201562233186P 2015-09-25 2015-09-25
US62/233,186 2015-09-25
US15/240,807 US9978610B2 (en) 2015-08-21 2016-08-18 Pulsing RF power in etch process to enhance tungsten gapfill performance
US15/240,807 2016-08-18
KR1020160105196A KR20170022929A (ko) 2015-08-21 2016-08-19 텅스텐 갭충진 퍼포먼스를 향상시기키 위해 에칭 프로세스에서 rf 전력의 펄싱

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160105196A Division KR20170022929A (ko) 2015-08-21 2016-08-19 텅스텐 갭충진 퍼포먼스를 향상시기키 위해 에칭 프로세스에서 rf 전력의 펄싱

Publications (1)

Publication Number Publication Date
KR20230161400A true KR20230161400A (ko) 2023-11-27

Family

ID=58157737

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160105196A KR20170022929A (ko) 2015-08-21 2016-08-19 텅스텐 갭충진 퍼포먼스를 향상시기키 위해 에칭 프로세스에서 rf 전력의 펄싱
KR1020230159550A KR20230161400A (ko) 2015-08-21 2023-11-16 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160105196A KR20170022929A (ko) 2015-08-21 2016-08-19 텅스텐 갭충진 퍼포먼스를 향상시기키 위해 에칭 프로세스에서 rf 전력의 펄싱

Country Status (3)

Country Link
US (2) US9978610B2 (ko)
KR (2) KR20170022929A (ko)
TW (1) TWI703635B (ko)

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10950500B2 (en) * 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR20180134182A (ko) * 2017-06-08 2018-12-18 삼성전자주식회사 플라즈마 처리 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6772117B2 (ja) * 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP7048433B2 (ja) * 2018-06-22 2022-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163132A1 (en) * 2019-02-04 2020-08-13 Lam Research Corporation Plasma resistant component for a plasma processing chamber
SG11202108725XA (en) * 2019-02-13 2021-09-29 Lam Res Corp Tungsten feature fill with inhibition control
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
JP6963097B2 (ja) 2019-04-22 2021-11-05 株式会社日立ハイテク プラズマ処理方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
US10867808B1 (en) * 2019-07-09 2020-12-15 United Microelectronics Corp. Manufacturing method of connection structure
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11482402B2 (en) 2019-12-18 2022-10-25 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20210078264A (ko) * 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
IT202100005906A1 (it) 2021-03-12 2022-09-12 Lebiu S R L Articolo tessile a base di sughero e procedimento per la sua fabbricazione
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102417431B1 (ko) * 2021-06-28 2022-07-06 주식회사 한화 보이드 또는 심의 발생을 억제하는 기판 처리 장치 및 방법
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023210399A1 (ja) * 2022-04-25 2023-11-02 東京エレクトロン株式会社 プラズマ処理装置、電源システム及びプラズマ処理方法
WO2024030248A1 (en) * 2022-08-04 2024-02-08 Applied Materials, Inc. Methods for forming multi-tier tungsten features
WO2024044373A1 (en) * 2022-08-26 2024-02-29 Applied Materials, Inc. High aspect ratio gap fill using cyclic deposition and etch

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
JPH0831935A (ja) 1994-07-13 1996-02-02 Nkk Corp 半導体装置の製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) * 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
JPH10256187A (ja) 1997-03-14 1998-09-25 Nippon Steel Corp 半導体装置及びその製造方法
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7795137B2 (en) 2005-08-26 2010-09-14 Hitachi, Ltd. Manufacturing method of semiconductor device
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
EP2052724A1 (en) * 2007-10-26 2009-04-29 sanofi-aventis Use of norgestimate as a selective inhibitor of TRPC3, TRPC6 and TRPC6 and TRPC7 ion channel
US8518282B2 (en) 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7964504B1 (en) 2008-02-29 2011-06-21 Novellus Systems, Inc. PVD-based metallization methods for fabrication of interconnections in semiconductor devices
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
KR101604054B1 (ko) 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
JP2012151187A (ja) 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
JP5829926B2 (ja) 2011-07-06 2015-12-09 東京エレクトロン株式会社 タングステン膜の成膜方法
US20130026055A1 (en) * 2011-07-25 2013-01-31 Jamieson Laboratories Ltd. Bottle with peelable label
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9230825B2 (en) * 2012-10-29 2016-01-05 Lam Research Corporation Method of tungsten etching
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9082826B2 (en) * 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
WO2015057959A1 (en) 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals

Also Published As

Publication number Publication date
US20170053811A1 (en) 2017-02-23
US9978610B2 (en) 2018-05-22
KR20170022929A (ko) 2017-03-02
TWI703635B (zh) 2020-09-01
US20180254195A1 (en) 2018-09-06
US10395944B2 (en) 2019-08-27
TW201719756A (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
US20220102208A1 (en) Feature fill with nucleation inhibition
KR102663818B1 (ko) 멀티-스테이지 핵생성 억제와 함께 피처 충진
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
KR102510614B1 (ko) 금속들을 에칭하기 위한 연속 rf 플라즈마 및 펄싱된 rf 플라즈마
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US8835317B2 (en) Depositing tungsten into high aspect ratio features
KR101327258B1 (ko) 고애스펙트비의 특징부에 대한 텅스텐 증착 방법 및 장치
US9548228B2 (en) Void free tungsten fill in different sized features
JP2015232177A (ja) フッ素非含有タングステンで高アスペクト比フィーチャを充填する方法
KR101340793B1 (ko) 고 종횡비 특징부 내부로 텅스텐 증착하기

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal