KR20050011479A - 반도체 소자의 텅스텐 콘택플러그 형성방법 - Google Patents

반도체 소자의 텅스텐 콘택플러그 형성방법 Download PDF

Info

Publication number
KR20050011479A
KR20050011479A KR1020030050602A KR20030050602A KR20050011479A KR 20050011479 A KR20050011479 A KR 20050011479A KR 1020030050602 A KR1020030050602 A KR 1020030050602A KR 20030050602 A KR20030050602 A KR 20030050602A KR 20050011479 A KR20050011479 A KR 20050011479A
Authority
KR
South Korea
Prior art keywords
tungsten
forming
layer
contact plug
cvd
Prior art date
Application number
KR1020030050602A
Other languages
English (en)
Inventor
윤양한
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030050602A priority Critical patent/KR20050011479A/ko
Publication of KR20050011479A publication Critical patent/KR20050011479A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

반도체 소자의 텅스텐 콘택플러그 형성방법에 관한 것으로서, 보다 바람직하게는 텅스텐(W) 콘택플러그 공정을 수행하는 경우, CVD(chemical vapor deposition) 텅스텐층 형성 공정과 퍼지(purge) 공정을 반복 수행(multiple step)하여 텅스텐층을 형성함으로써, 갭 필(gap-fill) 능력(ability)과 콘택홀(contact hole)의 저항이 개선된 텅스텐 콘택플러그를 형성할 수 있는 방법이다.

Description

반도체 소자의 텅스텐 콘택플러그 형성방법{Method for Forming Tungsten Contact Plug of Semiconductor Device}
반도체 소자의 텅스텐(W) 콘택플러그 형성방법에 관한 것으로서, 보다 바람직하게는 텅스텐 콘택플러그 공정을 수행하는 경우, CVD(chemical vapor deposition) 텅스텐층 형성 공정과 퍼지(purge) 공정을 반복 수행(multiple step)하여 텅스텐층을 형성함으로써, 갭 필(gap-fill) 능력(ability)과 콘택홀(contacthole)의 저항이 개선된 텅스텐 콘택플러그를 형성할 수 있는 방법이다.
일반적으로 반도체 소자의 메모리 장치의 고집적화에 맞추어 반도체 소자의 메모리 셀 등의 면적을 최소한으로 축소시켜 오고 있는데, 이를 위하여 금속배선의 선폭(critical dimension;이하“CD”라 칭함)을 지속적으로 미세화 시키는 기술이 연구, 개발되었다.
상기 금속배선의 선폭을 미세화 시키기 위해서는 높은 아스펙트비(aspect ratio ; 이하“A/S”라 칭함)를 갖는 콘택 내부에 우수한 단차 피복성(step coverage)을 가지는 콘택플러그를 형성해야 한다.
이러한 물질로는 최근 알루미늄 배선 대신에 텅스텐이 이용되고 있는데, 상기 텅스텐을 기존의 알루미늄을 스퍼터링(sputtering)의 방법으로 형성하는 경우 보이드(void) 등의 결함이 발생하거나, 단차 피복성이 불량하게 되어 배선의 단락이 발생되므로, 소자의 신뢰성이 저하되어, 최근에는 가장 우수한 단차 피복성을 얻을 수 있는 CVD 방법의 텅스텐층을 형성한다.
한편, 100㎚의 비트라인(bit-line) 패턴을 형성하는 경우 A/S 값은 약 14∼17로, 이러한 수치는 기존의 120㎚ 패턴보다 최대 4배가 증가된 값이다. 이와 같이 A/S 값이 급격히 증가하는 경우, 일반적인 CVD 방법으로 텅스텐을 형성하는 경우 텅스텐의 단차 피복성이 감소하여, 콘택을 매립하는 갭 필 능력에 한계가 있다.
상기와 같은 문제점을 해결하기 위하여 사용된 방법이 ALD(atomic layer deposition) 방법인데, 이 방법은 뛰어난 단차 피복성을 가지고 있는 반면, 소자의생산 수율(throughput)이 매우 낮은 또 다른 단점이 있어 생산 공정에 도입하기가 어렵다.
상기와 같은 일반적인 CVD 법을 이용한 텅스텐 콘택플러그 공정은 하기 반응식 1과 같이 두 단계로 수행된다.
[반응식 1]
1 단계 ; 2WF6(g) + 3SiH4(g) → 2W(s) + 3SiF4(g) + 6H2(g)
2 단계 ; WF6(g) + 3H2(g) → W(s) + 6HF(g)
상기 1 단계는 SiH4환원 반응을 이용한 핵생성(nucleation)층 형성 반응이고, 상기 2 단계는 H2환원 반응을 이용한 벌크(bulk)층 형성 반응이다.
이때, 상기 1 단계는 2 단계 반응 보다 반응성이 더 높기 때문에 쉽게 W(s)를 형성시키지만, 단차 피복성이 좋지 않기 때문에 콘택 상부의 CD가 감소된다. 그래서, 상기 1 단계에서 텅스텐 핵생성층을 얇은 두께로 형성한 후, 후속 공정으로 단차 피복성이 좋은 상기 2 단계의 반응을 진행하여 갭 필 능력을 향상시킨다.
그러나, 텅스텐의 단차 피복성에 한계가 있기 때문에, 콘택의 A/S가 점점 더 증가하는 경우에는, 상기 방법을 이용한 콘택플러그 공정을 수행하는 것에 한계가 있어 텅스텐층이 균일하게 형성되지 않는다.
종래의 반도체 소자의 텅스텐 콘택플러그 형성 방법은 도 1a 내지 도 1d를 들어 설명할 수 있다.
도 1a를 참조하면, 트랜지스터 및 비트라인(미도시)이 형성된 반도체기판(1) 상부에 층간절연막(미도시)을 형성한 다음, 콘택마스크(미도시)를 이용한 식각 공정을 수행하여 콘택(5)을 구비한 층간절연막 패턴(3)을 형성한다.
도 1b를 참조하면, 콘택(5)이 구비된 층간절연막 패턴(3)의 전 표면에 확산방지막(7)을 형성한다.
도 1c를 참조하면, 확산방지막(7)의 전 표면에 상기 반응식 1의 1 단계의 CVD 텅스텐층 형성 공정을 수행하여 250Å정도의 얇은 두께의 텅스텐 핵생성층(9a)을 형성한다.
이때, 상기 1 단계 공정 조건은 450℃의 온도에서 1sccm 유량의 SiH4및 8sccm 유량의 WF6를 5 Torr압력의 반응기(reactor) 내부로 가하면서 50초간 SiH4환원 반응을 시켜 텅스텐 핵생성층(9a)을 형성한다.
도 1d를 참조하면, 텅스텐 핵생성층(9a)의 전 표면에 상기 반응식 1의 2 단계의 CVD 텅스텐층 형성 공정을 수행하여 텅스텐 벌크층(9b)을 4000Å정도의 두께로 형성한다.
이때, 상기 2 단계 공정은 450℃의 온도에서 1000sccm 유량의 H2및 95sccm 유량의 WF6를 90 Torr압력의 반응기 내부로 가하면서 45초간 H2환원 반응을 시켜 텅스텐 벌크층(9b)을 형성한다.
그 다음, 형성된 텅스텐 벌크층(9b) 상부에 대한 에치백 공정 등을 수행하여 평탄화한다.
이때, 콘택이 높은 A/S를 가지는 경우, 텅스텐은 단차 피복성이 낮아지기때문에 콘택플러그 공정 후에 콘택 상부에 불균일한 오버행(overhang)의 텅스텐층이 형성된다. 그래서, 후속 공정 예를 들면, SAC(self aligned contact) 공정을 수행하는 경우, 상부에 불균일한 층이 형성될 뿐만 아니라, 상기 공정을 비트라인 형성 공정에 이용하는 경우 비트라인간에 브릿지(bridge)가 발생되는 등, 불안정한 소자가 제조되어 소자 수율이 낮아진다.
이에 본 발명자들은 상기와 같은 문제점을 해결하기 위하여, CVD 텅스텐층 형성 공정과 퍼지 공정을 반복 수행함으로써, 텅스텐의 단차 피복성을 높여 갭 필 능력을 향상시키는 방법을 제공하는 것을 목적으로 한다.
도 1a 내지 도 1d는 종래의 반도체 소자의 텅스텐 콘택플러그 형성방법에 도시한 공정도.
도 2a 내지 도 2d 본 발명의 반도체 소자의 텅스텐 콘택플러그 형성방법을 도시한 공정도.
< 도면의 주요 부분에 대한 간단한 설명 >
1, 21 : 반도체 기판 3, 23 : 층간 절연막
5, 33 : 콘택 7, 27 : 확산 방지막
9a, 29a : CVD 텅스텐 핵생성층 9b, 29b : CVD 텅스텐 벌크층
상기 목적을 달성하기 위하여 본 발명에서는
반도체 소자의 텅스텐 콘택플러그를 형성하는 방법에 있어서,
(a) 반도체 기판이 노출된 콘택을 구비한 층간절연막 패턴을 형성하는 단계;
(b) 상기 콘택을 구비한 층간절연막 전 표면에 확산 방지막을 형성하는 단계;
(c) 상기 확산방지막의 전 표면에 CVD 텅스텐층 형성 공정과 퍼지 공정을 반복 수행하면서 텅스텐 핵생성층을 형성하는 단계; 및
(d) 상기 핵생성층의 전 표면에 CVD 텅스텐층 형성 공정과 퍼지 공정을 반복 수행하면서 텅스텐 벌크층을 형성하는 단계를 포함하여 콘택을 매립하는 반도체 소자의 텅스텐 콘택플러그 형성 방법을 제공한다.
이때, 상기 (c) 및 (d) 단계의 퍼지 공정은 400∼600sccm 유량의 H2, 2700∼2900sccm 유량의 N2및 200∼400sccm 유량의 Ar 혼합 가스, 바람직하게는 500sccm 유량의 H2, 2800sccm 유량의 N2및 300sccm 유량의 Ar 혼합 가스를 가하면서 4∼7초, 바람직하게는 5초 동안 수행된다.
상기 퍼지 공정을 이용한 CVD 텅스텐 핵생성층은 하기 반응식 2의 1 단계의 반응으로 수행되는 것이 바람직하고, CVD 텅스텐 벌크층은 하기 반응식 2의 2 단계의 반응 공정으로 수행되는 것이 바람직하다.
[반응식 2]
1 단계 공정 : WF6(g) + SiH4(g) → 퍼지 공정 → WF6(g) + SiH4(g) → 퍼지 공정....(반복)
2 단계 공정 : WF6(g) + 3H2(g) → 퍼지 공정 → WF6(g) + 3H2(g) → 퍼지 공정....(반복)
이때, 상기 반응식 2의 1 단계는 기존의 텅스텐 핵생성층을 형성하기 위한 상기 반응식 1의 1 단계 반응과 비교하여, 반응기(chamber) 내부를 퍼지 시켜주면서 텅스텐층 형성 공정을 반복 수행하여 텅스텐 핵생성층이 종래에 비하여 두껍게 형성되도록 한다. 이러한 공정은 반응물인 전구체들이 충분히 반응할 수 있는 시간을 주므로, 미반응물질이 콘택 내부나 박막으로 유입되는 것을 최소화시킬 수 있다는 장점이 있다.
상기 1 단계 반응은 WF6와 SiH4를 7 : 1∼9 : 1, 바람직하게는 8 : 1의 유량 비율로 반응기내로 유입시켜 수행되는데, 한번 수행할 때 200∼350Å두께의 텅스텐층이 형성되도록 하는 것이 바람직하다.
또한, 상기 퍼지 공정과 CVD 텅스텐층 형성 공정은 100㎚의 패턴을 예를 들어 수행할 때, 콘택의 깊이가 상부에서부터 약 3000∼31000Å정도이므로, 4∼6회를 서로 반복하면서 수행하여 총 1000∼1500Å의 두께가 형성되도록 하는 것이 바람직하다.
또한, 상기 2 단계 반응은 WF6와 H2를 1 : 9∼1 : 12, 바람직하게는 1 : 10의 유량 비율로 반응기내로 유입시켜 수행되는데, 한번 수행할 때 200∼350Å두께의 텅스텐층을 형성하되, 콘택이 매립 될 때까지 반복 수행하는 것이 바람직하다.
또한, 상기 퍼지 공정과 CVD 텅스텐층 형성 공정은 4∼8회를 서로 반복하면서 수행하여 형성한다.
이하 본 발명을 첨부 도면 2a 내지 도 2d를 들어 설명한다.
도 2a를 참조하면, 트랜지스터 및 비트라인(미도시)이 형성된 반도체 기판(21) 상부에 층간절연막(미도시)을 형성한 다음, 반도체 기판(21)이 노출될 때까지 콘택마스크(미도시)를 이용한 식각 공정을 수행하여 콘택(25)을 구비한 층간절연막 패턴(23)을 형성한다.
도 2b를 참조하면, 콘택(25)이 구비된 층간절연막 패턴(23)의 전 표면에 확산방지막(27)을 형성한다.
이때, 확산방지막(27)은 Ti/TiN을 이용하여, 180∼220/100∼120Å, 바람직하게는 200/110Å의 두께로 형성한다.
이어서, 콘택플러그를 형성하기 위한 텅스텐층을 형성하는데, 본 발명에서는 CVD 공정과 퍼지 공정을 반복 수행하여 텅스텐층을 형성하는 것이 바람직하다.
도 2c를 참조하면, 확산방지막(27)의 전 표면에 상기 1 단계의 CVD 텅스텐층 형성 공정과 퍼지 공정을 수행하여 1000∼1500Å의 두께의 텅스텐 핵생성층(29a)을 형성한다.
상기 CVD 텅스텐층 형성 공정은 450℃ 의 증착 온도와 5Torr의 증착 압력 하에서, 소스 가스인 WF6와 SiH4를 8 : 1의 유량 비율로 반응기내에 유입시켜 형성하는 것이 바람직하다. 이때 CVD 텅스텐층 형성 공정은 한번에 200∼350Å정도의 두께가 형성되도록 하여, 4∼6회에 거쳐 반복 수행하여 형성하는 것이 바람직하다.
상기 퍼지 공정은 500sccm 유량의 H2, 2800sccm 유량의 N2및 300sccm 유량의 Ar 혼합 가스를 가하여 4∼7초, 바람직하게는 5초 동안 수행된다
도 2d를 참조하면, 텅스텐 핵생성층(29a)의 전 표면에 상기 2 단계의 CVD 텅스텐층 형성 공정과 퍼지 공정을 수행하여 콘택이 매립될 때까지 텅스텐 벌크층(29b)을 형성한다.
상기 CVD 텅스텐 벌크층 형성 공정은 450℃ 의 증착 온도와 90Torr의 증착 압력 하에서, 소스 가스인 WF6와 H2를 1 : 10의 유량 비율로 반응기 내부로 가하면서 45초간 H2환원 반응을 시켜 텅스텐 벌크층(29b)을 형성한다. 이때 CVD 텅스텐벌크층 형성 공정은 한번에 200∼350Å정도의 두께가 형성되도록 하여, 4∼8회에 거쳐 반복 수행하여 형성하는 것이 바람직하다.
상기 퍼지 공정은 500sccm 유량의 H2, 2800sccm 유량의 N2및 300sccm 유량의 Ar 혼합 가스를 가하여 4∼7초, 바람직하게는 5초 동안 수행된다
그 다음, 후속 공정으로 SAC 공정을 위하여 하드마스크 절연막 등을 더 형성할 수 있다.
상기 새로운 텅스텐층 형성 방법은 퍼지 공정과 CVD 방법을 반복하여 적용함으로써, 금속 콘택플러그의 갭 필 능력과 콘택 저항의 특성을 향상시킬 수 있으며, 더하여. ALD와 같은 새로운 장비를 통하지 않고서도 우수한 특성의 박막을 얻을 수 있다는 장점이 있다.
이상에서 살펴본 바와 같이, 본 발명은 퍼지 공정과 CVD 방법을 반복하여 텅스텐 콘택플러그를 형성함으로써, 우수한 단차 피복성의 특성을 얻을 수 있어, 갭 필 능력 향상시킬 수 있을 뿐만 아니라, 콘택 저항을 개선시킬 수 있으므로, ALD와 같은 새로운 장비를 통하지 않고서도 특성이 향상된 박막을 얻을 수 있어 우수한 반도체 소자를 제조할 수 있다.

Claims (13)

  1. 반도체 소자의 텅스텐 콘택플러그를 형성하는 방법에 있어서,
    (a) 반도체 기판이 노출된 콘택을 구비한 층간절연막 패턴을 형성하는 단계;
    (b) 상기 콘택을 구비한 층간절연막 전 표면에 확산 방지막을 형성하는 단계;
    (c) 상기 확산방지막의 전 표면에 CVD 텅스텐층 형성 공정과 퍼지 공정을 반복 수행하면서 텅스텐 핵생성층을 형성하는 단계; 및
    (d) 상기 핵생성층의 전 표면에 CVD 텅스텐층 형성 공정과 퍼지 공정을 반복 수행하면서 텅스텐 벌크층을 형성하는 단계를 포함하여 콘택을 매립하는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법
  2. 제 1 항에 있어서,
    상기 (c) 및 (d) 단계의 퍼지 공정은 400∼600sccm 유량의 H2, 2700∼2900sccm 유량의 N2및 200∼400sccm 유량의 Ar 혼합 가스를 이용하여 수행되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  3. 제 1 항에 있어서,
    상기 (c) 및 (d) 단계의 퍼지 공정은 4∼7초 동안 수행되는 것을 특징으로하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  4. 제 1 항에 있어서,
    상기 (c) 단계의 CVD 텅스텐 핵생성층 형성 공정은 WF6와 SiH4를 7 : 1 ∼ 9 : 1의 유량 비율로 반응기내에 유입시켜 수행되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  5. 제 1 항에 있어서,
    상기 (c) 단계의 텅스텐 핵생성층은 CVD 공정으로 한번에 200∼350Å의 두께로 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  6. 제 1 항에 있어서,
    상기 (c) 단계의 CVD 텅스텐 핵생성층은 총 1000∼1500Å의 두께로 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  7. 제 1 항에 있어서,
    상기 (c) 단계의 CVD 텅스텐 핵생성층은 CVD 텅스텐층 형성 공정과 퍼지 공정을 4∼6회로 반복 수행하여 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  8. 제 1 항에 있어서,
    상기 (d) 단계의 CVD 텅스텐 벌크층 형성 공정은 WF6와 H2를 1 : 9 ∼ 1 : 12의 유량 비율로 반응기내에 유입시켜 수행되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  9. 제 1 항에 있어서,
    상기 (d) 단계의 텅스텐 벌크층은 CVD 공정으로 한번에 200∼350Å의 두께로 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  10. 제 1 항에 있어서,
    상기 (d) 단계의 텅스텐 벌크층은 콘택이 매립될 때까지 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  11. 제 1 항에 있어서,
    상기 (d) 단계의 텅스텐 벌크층은 CVD 텅스텐층 형성 공정과 퍼지 공정을 4∼8회로 반복 수행하여 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  12. 제 1 항에 있어서,
    상기 확산방지막은 Ti/TiN을 이용하여 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
  13. 제 12 항에 있어서,
    상기 확산방지막은 180∼220/100∼120Å의 두께로 형성되는 것을 특징으로 하는 반도체 소자의 텅스텐 콘택플러그 형성 방법.
KR1020030050602A 2003-07-23 2003-07-23 반도체 소자의 텅스텐 콘택플러그 형성방법 KR20050011479A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030050602A KR20050011479A (ko) 2003-07-23 2003-07-23 반도체 소자의 텅스텐 콘택플러그 형성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030050602A KR20050011479A (ko) 2003-07-23 2003-07-23 반도체 소자의 텅스텐 콘택플러그 형성방법

Publications (1)

Publication Number Publication Date
KR20050011479A true KR20050011479A (ko) 2005-01-29

Family

ID=37223531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030050602A KR20050011479A (ko) 2003-07-23 2003-07-23 반도체 소자의 텅스텐 콘택플러그 형성방법

Country Status (1)

Country Link
KR (1) KR20050011479A (ko)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100745066B1 (ko) * 2005-03-24 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
KR100919808B1 (ko) * 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
KR100972704B1 (ko) * 2007-06-28 2010-07-27 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성 방법
KR101327258B1 (ko) * 2009-08-04 2013-11-08 노벨러스 시스템즈, 인코포레이티드 고애스펙트비의 특징부에 대한 텅스텐 증착 방법 및 장치
WO2014058536A1 (en) * 2012-10-11 2014-04-17 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
KR20140089646A (ko) * 2013-01-03 2014-07-16 주식회사 원익아이피에스 반도체 소자의 금속층 형성 방법
US8835317B2 (en) 2009-08-04 2014-09-16 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9589835B2 (en) 2008-12-10 2017-03-07 Novellus Systems, Inc. Method for forming tungsten film having low resistivity, low roughness and high reflectivity
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100745066B1 (ko) * 2005-03-24 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
KR100972704B1 (ko) * 2007-06-28 2010-07-27 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성 방법
KR100919808B1 (ko) * 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US9589835B2 (en) 2008-12-10 2017-03-07 Novellus Systems, Inc. Method for forming tungsten film having low resistivity, low roughness and high reflectivity
US8835317B2 (en) 2009-08-04 2014-09-16 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10103058B2 (en) 2009-08-04 2018-10-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
KR101327258B1 (ko) * 2009-08-04 2013-11-08 노벨러스 시스템즈, 인코포레이티드 고애스펙트비의 특징부에 대한 텅스텐 증착 방법 및 장치
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
WO2014058536A1 (en) * 2012-10-11 2014-04-17 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
KR20140089646A (ko) * 2013-01-03 2014-07-16 주식회사 원익아이피에스 반도체 소자의 금속층 형성 방법
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US11069535B2 (en) 2015-08-07 2021-07-20 Lam Research Corporation Atomic layer etch of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10395944B2 (en) 2015-08-21 2019-08-27 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals

Similar Documents

Publication Publication Date Title
US6927163B2 (en) Method and apparatus for manufacturing a barrier layer of semiconductor device
US7163889B2 (en) Film for copper diffusion barrier
KR100287180B1 (ko) 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR20050011479A (ko) 반도체 소자의 텅스텐 콘택플러그 형성방법
KR20020000237A (ko) 반도체 소자의 금속 배선 형성방법
KR100688055B1 (ko) 저온 장벽금속층을 이용한 금속배선 제조 방법
JP2005527098A (ja) 高アスペクト比の半導体デバイス用のボロンドープ窒化チタン層
KR100578221B1 (ko) 확산방지막을 구비하는 반도체소자의 제조 방법
KR20040047503A (ko) 알루미늄 금속 배선 형성방법
KR100322886B1 (ko) 반도체장치의 금속 콘택 형성 방법
KR0161889B1 (ko) 반도체장치의 배선 형성방법
KR100780689B1 (ko) 반도체 소자의 제조방법
KR100753416B1 (ko) 반도체 소자의 제조방법
KR100639458B1 (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
US7041582B2 (en) Method of manufacturing semiconductor device
US20050062159A1 (en) Semiconductor devices and methods of forming a barrier metal in semiconductor devices
KR100609049B1 (ko) 반도체 소자의 금속배선 형성방법
KR100440260B1 (ko) 반도체 소자의 비트라인 형성 방법
KR100406562B1 (ko) 금속배선형성방법
KR100680940B1 (ko) 반도체 소자의 금속배선 형성방법
JPH1074709A (ja) 半導体装置とその製造方法
KR100578213B1 (ko) 비정질 3상 확산장벽층을 이용한 반도체장치의 제조 방법
KR20050106916A (ko) 확산방지막을 구비하는 반도체소자의 제조 방법
KR19990055159A (ko) 반도체 장치의 텅스텐 금속배선 형성방법
KR100587600B1 (ko) 듀얼 다마신 공정을 이용한 금속배선 형성방법

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination