KR20020000237A - 반도체 소자의 금속 배선 형성방법 - Google Patents

반도체 소자의 금속 배선 형성방법 Download PDF

Info

Publication number
KR20020000237A
KR20020000237A KR1020000033984A KR20000033984A KR20020000237A KR 20020000237 A KR20020000237 A KR 20020000237A KR 1020000033984 A KR1020000033984 A KR 1020000033984A KR 20000033984 A KR20000033984 A KR 20000033984A KR 20020000237 A KR20020000237 A KR 20020000237A
Authority
KR
South Korea
Prior art keywords
layer
copper
forming
chemical
cvd
Prior art date
Application number
KR1020000033984A
Other languages
English (en)
Other versions
KR100407680B1 (ko
Inventor
표성규
Original Assignee
박종섭
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 박종섭, 주식회사 하이닉스반도체 filed Critical 박종섭
Priority to KR10-2000-0033984A priority Critical patent/KR100407680B1/ko
Priority to US09/874,505 priority patent/US6593236B2/en
Priority to JP2001184675A priority patent/JP4790162B2/ja
Publication of KR20020000237A publication Critical patent/KR20020000237A/ko
Application granted granted Critical
Publication of KR100407680B1 publication Critical patent/KR100407680B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 반도체 소자의 금속 배선 형성방법에 관한 것으로, CVD법으로 초미세 다마신 패턴을 구리로 매립하는 공정기술의 낮은 증착 속도를 개선하기 위해 구리의 증착 속도를 증가시키기 위한 화학적 강화제층을 형성한 후 구리 전구체를 이용한 MOCVD법으로 다마신 패턴을 매립하는 CECVD법으로 구리 배선을 형성하는 공정기술에서, 화학적 강화제층은 구리 증착을 가속화시키는 역할을 하지만 높은 전기적 비저항 특성을 가지고 있기 때문에 구리 증착 후 구리의 표면에 떠오른 화학적 강화제층이 구리 배선 특성을 저하시키므로, 이를 방지하기 위하여 CECVD법으로 구리를 증착한 후에 구리의 표면에 떠오른 화학적 강화제층을 플라즈마 처리로 제거하므로써, 초 미세 다마신 패턴을 구리로 빠르고 용이하게 매립함과 동시에 구리 배선의 전기적 특성을 극대화 할 수 있는 반도체 소자의 금속 배선 형성방법이 개시된다.

Description

반도체 소자의 금속 배선 형성방법{Method of forming a metal wiring in a semiconductor device}
본 발명은 반도체 소자의 금속 배선 형성방법에 관한 것으로, 특히 구리 전구체를 이용하여 초미세구조의 다마신 패턴을 구리로 매립하는 공정기술에서, 화학적 강화제층을 이용하여 구리의 증착을 가속화시키고, 구리 증착 후 화학적 강화제층을 제거하여 구리 배선의 전기적 특성을 극대화 할 수 있는 반도체 소자의 금속 배선 형성방법에 관한 것이다.
현재, 반도체 소자에서의 금속 배선 재료로 알루미늄을 적용할 경우, 티타늄(Ti) 박막을 증착한 후 알루미늄(Al)을 물리기상 증착(Physical Vapor Deposition;PVD)법 및 화학 기상 증착(Chemical vapor deposition;CVD)법을 이용하여 증착하는 2-단계 공정이 연구되고 있다. 구리를 금속 배선으로 적용하는 경우에는 구리의 확산 방지막으로 PVD법으로 증착된 Ta, TaN을 이용하여 전기 도금법으로 구리를 증착하는 방법이 주로 이용되고 있다. 그러나 상기의 두 경우에는 급격한 단차(Aspect ratio)의 증가로 인하여 우수한 단차 피복성(Step Coverage) 및 콘택 매립이 요구되는 차세대 초미세 배선구조에서 한계에 도달한다. 이러한 한계의 해결 방안으로 구리 증착을 가속화할 수 있는 각종 화학적 강화제를 이용하는 화학적 강화 화학 기상 증착(CECVD)법으로 다마신 패턴을 매립하고 있으나 구리의 증착을 가속화시켜주는 각종 화학적 강화제가 가지고 있는 높은 전기적 비저항 특성으로 인하여 전기적 특성이 우수한 장점을 갖는 구리 배선을 이용하는 목적에 역행하는 문제가 있다.
따라서, 본 발명은 화학적 강화제층을 이용하여 구리의 증착속도를 증가시키되, 구리 증착 후 구리의 표면에 떠오른 화학적 강화제층을 플라즈마 처리로 제거하므로써, 화학적 강화제의 높은 비저항에 의한 구리 배선의 전기적 특성 저하를 방지할 수 있는 반도체 소자의 금속 배선 형성방법을 제공하는데 그 목적이 있다.
이러한 목적을 달성하기 위한 본 발명에 따른 반도체 소자의 금속 배선 형성방법은 다마신 패턴을 갖는 절연막이 형성된 반도체 기판이 제공되는 단계; 상기 절연막 상에 확산 방지막을 형성하는 단계; 상기 확산 방지막 상에 구리 시드층을 형성하는 단계; 상기 구리 시드층 상에 화학적 강화제층을 형성하는 단계; 화학적 기상 증착법으로 구리층을 형성하는 단계; 상기 구리층 표면에 떠오른 상기 화학적 강화제층을 플라즈마 처리로 제거하는 단계; 및 상기 다마신 패턴을 구리 전기 도금법으로 완전히 매립하고, 수소 환원 열처리 및 화학적 기계적 연마공정을 실시하여 구리 금속 배선을 형성하는 단계를 포함하여 이루어지는 특징으로 한다.
도 1a 내지 도 1e는 본 발명에 따른 반도체 소자의 금속 배선 형성방법을 설명하기 위하여 순차적으로 도시한 단면도.
<도면의 주요 부분에 대한 부호 설명>
10 : 반도체 기판 11 : 제 1 절연막
12 : 하부 금속층 13 : 제 2 절연막
14 : 확산 방지막 15 : 구리 시드층
16 : 화학적 강화제층 17a : CECVD 구리층
17b : 구리 도금층 17 : 구리 배선
이하, 첨부된 도면을 참조하여 본 발명의 실시예를 상세히 설명하기로 한다.
도 1a 내지 도 1e는 본 발명에 따른 반도체 소자의 금속 배선 형성방법을 설명하기 위하여 순차적으로 도시한 단면도이다.
도 1a를 참조하면, 반도체 소자를 형성하기 위한 여러 요소가 형성된 반도체 기판(10) 상에 제 1 절연막(11), 하부 금속층(12) 및 제 2 절연막(13)을 순차적으로 형성한 후, 제 2 절연막(13)에 트랜치 및 비아로 이루어진 다마신 패턴을 형성하고 세정 공정을 실시하여 다마신 패턴에 의해 노출된 하부 금속층(12)의 표면에 잔류하는 산화물층을 제거한다. 이후, 다마신 패턴을 포함한 제 2 절연막(13) 상에 확산 방지막(14)을 형성한다. 확산 방지막(14) 상에 50 내지 500Å의 두께로 구리 시드(Seed)층(15)을 형성한다. 구리 시드층(15)은 확산 방지막(14)을 플라즈마 처리한 후에 형성할 수 있다.
상기에서, 제 2 절연막(13)은 저유전 상수값을 가지는 절연물질을 이용하여 형성하며, 제 2 절연막(13)에 형성된 트랜치 및 비아는 이중 다마신 방식으로 형성된다. 다마신 패턴 형성 후 실시하는 세정 공정은 하부 금속층(12)이 W 및 Al등의 금속일 경우에는 RF 플라즈마를 이용하고, 하부 금속층(12)이 Cu일 경우에는 리액티브 세정(reactive cleaning) 방법을 적용하여 실시한다. 확산 방지막은 ionized PVD TiN, CVD TiN, MOCVD TiN, ionized PVD Ta, ionized PVD TaN, CVD Ta, CVD TaN, CVD WN, CVD TiAlN, CVD TiSiN, CVD TaSiN 중 적어도 어느 하나로 형성한다.
도 1b를 참조하면, 구리 시드층(15) 상에 화학적 강화제층(16)을 형성한다. 구리 시드층(15)은 50 내지 500Å의 두께 범위로 확산 방지막(14) 상에 형성되어 화학적 강화제층(16)과 함께 구리의 증착을 더욱더 가속화시키는 역할을 한다. 화학적 강화제층(16) 형성을 위한 촉매로는 CH3I, C2H5I, CD3I, CH2I2등의 I(요오드)함유 액체화합물, Hhfac1/2H2O, Hhfac, TMVS 순수(pure) I2, I(요오드) 함유 가스 및 수증기(water vapor)중 어느 하나를 이용하며 -20 내지 300℃의 온도범위에서 1 내지 600초 동안 실시된다. 또한 주기율표상의 7족 원소들인 액체상태의 F, Cl, Br, I, At, 가스상태의 F, Cl, Br, I, At도 촉매로 사용된다.
도 1c를 참조하면, (hfac)CuVTMOS 계열, (hfac)CuDMB 계열 및 (hfac)CuTMVS 계열 등의 hfac를 이용한 모든 전구체 중 어느 하나를 이용한 유기금속 화학 기상 증착법(MOCVD)으로 CECVD 구리층(17a)을 증착하여 다마신 패턴을 구리로 매립한다. CECVD 구리층(17a)이 층착되면서 화학적 강화제층(16)은 계속해서 증착되는 CECVD 구리층(17a)의 표면으로 떠오른다. CECVD 구리층(17a)은 다마신 패턴 내부를 용이하게 매립시키기 위해 선택적 부분 매립(Selective Partial Fill)법으로 형성할 수 있다. 상기의 구리 증착 공정은 다이렉트 리퀴드 인젝션(DLI), 컨트롤 에바퍼레이션 믹서(CEM), 오리피스(orifice) 방식 및 스프레이(spray) 방식의 베이퍼라이저(vaporizer)를 갖는 모든 구리 증착 장비에서 실시한다.
도 1d를 참조하면, CECVD 구리층(17a)을 증착하면서 CECVD 구리층(17a)의 표면으로 떠오른 화학적 강화제층(16)을 플라즈마 처리로 제거한다. 노출된 CECVD 구리층(17a)은 다마신 패턴의 단차에 의해 굴곡이 형성되는데, 구리 전기 도금법(electropalating Cu)으로 구리 도금층(17b)을 형성하여 굴곡부위를 완전히 매립한다.
상기에서, 화학적 강화제층(16)을 제거하기 위한 플라즈마 처리는 반도체 기판(10)을 10 내지 350℃의 온도 범위로 하여 0.3 내지 10Torr의 챔버 압력 범위에서 실시하는데, H2, Ar, O2, O3, NH3, N2, H2+Ar, H2+NH3중 적어도 어느 하나의 단일가스나 혼합가스 분위기에서 가스의 유량은 50 내지 500sccm의 범위로 하고, 50 내지 7000W의 플라즈마 생성 전력으로 10 내지 600초 동안 실시한다. 또한, 화학적 강화제층(16)의 제거 방법은 상기한 플라즈마 처리 단계 및 H2등의 가스를 이용한 퍼지(Purge) 단계를 적어도 1회 이상 반복 실시하는 다단계 스텝에 의한 제거도 가능하다. 플라즈마 처리시 반도체 기판과 샤워 헤드와의 간격은 5 내지 50mm로 한다.
한편, 화학적 강화제층(16)은 도 1b에서 언급한 바와 같이 촉매 물질을 사용하는데, 이러한 물질들은 매우 높은 비저항 특성을 갖고 있다. 예를 들어, 촉매 물질로 널리 사용되는 요오드의 비저항은 5.85XE6 microOhmcm이다. 따라서, 이러한 비저항 특성을 갖는 화학적 강화제층(16)을 제거하지 않고 그대로 전기 도금법에 의해 구리 도금층(17b)을 형성하면 구리를 이용하는 목적인 낮은 비저항 특성 효과를 얻을 없게 된다.
도 1e를 참조하면, 수소 환원 열처리를 실시하여 증착된 구리층(17a 및 17b)의 막질을 개선시키고, 다마신 패턴 내부를 제외한 제 2 절연막(13) 상의 구리층(17a 및 17b) 및 확산 방지막(14)을 화학적 기계적 연마공정(CMP)으로 제거하여 구리 배선(17)을 형성한다.
상기한 본 발명의 실시예에서는 화학적 강화제층(16)을 구리 시드층(15) 상에 형성하였지만, 확산 방지막(14)을 형성한 후에 바로 형성할 수 있으며, 확산 방지막(14)을 플라즈마 처리한 후에 형성할 수도 있다. 이후, 구리 시드층(15)을 형성하게 되면 화학적 강화제층(16)은 구리 시드층(15) 표면에 떠올라 도 1b에 도시된 바와 같이 된다.
상술한 바와 같이, 본 발명은 화학적 강화제층을 이용하여 구리 매립을 용이하게 하고, 후속 플라즈마 처리로 비저항이 높은 화학적 강화제층을 제거한 후 구리 배선을 형성하므로써 구리 배선의 전기적 특성을 극대화하고 소자의 신뢰성을 향상시키는 효과가 있다.

Claims (10)

  1. 다마신 패턴을 갖는 절연막이 형성된 반도체 기판이 제공되는 단계;
    상기 절연막 상에 확산 방지막을 형성하는 단계;
    상기 확산 방지막 상에 구리 시드층을 형성하는 단계;
    상기 구리 시드층 상에 화학적 강화제층을 형성하는 단계;
    화학적 기상 증착법으로 구리층을 형성하는 단계;
    상기 구리층 표면에 떠오른 상기 화학적 강화제층을 플라즈마 처리로 제거하는 단계; 및
    상기 다마신 패턴을 구리 전기 도금법으로 완전히 매립하고, 수소 환원 열처리 및 화학적 기계적 연마공정을 실시하여 구리 금속 배선을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  2. 제 1 항에 있어서,
    상기 다마신 패턴을 형성한 후 노출되는 하부 금속층이 W 및 Al 중 어느 하나일 경우에 RF 플라즈마를 이용하여 실시하고, 상기 하부 금속층이 구리일 경우에 리액티브 세정 공정을 이용하여 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성방법.
  3. 제 1 항에 있어서,
    상기 확산 방지막은 ionized PVD TiN, CVD TiN, MOCVD TiN, ionized PVD Ta, ionized PVD TaN, CVD Ta, CVD TaN, CVD WN, CVD TiAlN, CVD TiSiN, CVD TaSiN 중 어느 하나를 이용하여 형성하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  4. 제 1 항에 있어서,
    상기 확산 방지막 형성 후 표면을 플라즈마 처리하는 것을 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법
  5. 제 1 항에 있어서,
    상기 구리 시드층은 50 내지 500Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
  6. 제 1 항에 있어서,
    상기 화학적 강화제층은 CH3I, C2H5I, CD3I, CH2I2중 어느 하나의 요오드 함유 액체화합물, Hhfac1/2H2O, Hhfac, TMVS 순수(pure) I2, 요오드 함유 가스, 수증기(water vapor), 주기율표상의 7족 원소들인 액체상태의 F, Cl, Br, I, At, 가스상태의 F, Cl, Br, I, At 중 어느 하나를 촉매로 이용하여 -20 내지 300℃의 온도범위에서 1 내지 600초 동안 처리하여 50 내지 500Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
  7. 제 1 항에 있어서,
    상기 구리층은 (hfac)CuVTMOS 계열, (hfac)CuDMB 계열 및 (hfac)CuTMVS 계열등의 hfac를 이용한 모든 전구체 중 어느 하나를 이용하여 다이렉트 리퀴드 인젝션(DLI), 컨트롤 에바퍼레이션 믹서(CEM), 오리피스(orifice) 방식 및 스프레이(spray) 방식의 베이퍼라이저(vaporizer)를 갖는 구리 증착 장비에서 MOCVD법으로 형성하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
  8. 제 1 항에 있어서,
    상기 플라즈마 처리는 H2, Ar, O2, O3, NH3, N2, H2+Ar 및 H2+NH3중 적어도 어느 하나를 50 내지 500sccm의 범위에서 단일 가스나 혼합 가스 분위기에서 50 내지700W의 플라즈마 생성 전력으로 10 내지 600초 동안 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
  9. 제 1 항에 있어서,
    상기 플라즈마 처리는 0.3 내지 10Torr의 챔버 압력 범위에서 상기 반도체 기판을 10 내지 350℃의 온도 범위로 하여 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
  10. 제 1 항에 있어서,
    상기 화학적 강화제층 제거 단계는 상기 플라즈마 처리 단계 및 퍼지 단계를 적어도 1회 이상 반복하여 실시하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성방법.
KR10-2000-0033984A 2000-06-20 2000-06-20 반도체 소자의 금속 배선 형성방법 KR100407680B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2000-0033984A KR100407680B1 (ko) 2000-06-20 2000-06-20 반도체 소자의 금속 배선 형성방법
US09/874,505 US6593236B2 (en) 2000-06-20 2001-06-05 Method of forming a metal wiring in a semiconductor device with copper seed
JP2001184675A JP4790162B2 (ja) 2000-06-20 2001-06-19 半導体素子の金属配線形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0033984A KR100407680B1 (ko) 2000-06-20 2000-06-20 반도체 소자의 금속 배선 형성방법

Publications (2)

Publication Number Publication Date
KR20020000237A true KR20020000237A (ko) 2002-01-05
KR100407680B1 KR100407680B1 (ko) 2003-12-01

Family

ID=19672828

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0033984A KR100407680B1 (ko) 2000-06-20 2000-06-20 반도체 소자의 금속 배선 형성방법

Country Status (3)

Country Link
US (1) US6593236B2 (ko)
JP (1) JP4790162B2 (ko)
KR (1) KR100407680B1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100413632B1 (ko) * 2001-07-23 2003-12-31 학교법인 인하학원 수소 플라즈마 및 급속 열처리의 이중 전처리 단계를포함하는 구리 전착방법
KR20040001993A (ko) * 2002-06-29 2004-01-07 주식회사 하이닉스반도체 구리 금속 배선 형성방법 및 이를 이용한 반도체 소자의다층 배선 형성방법
KR100758890B1 (ko) * 2005-12-28 2007-09-19 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법 및 자기 헤드의 제조 방법
KR100788374B1 (ko) * 2006-09-06 2008-01-02 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US7446033B2 (en) 2005-01-25 2008-11-04 Samung Electronics Co., Ltd. Method of forming a metal interconnection of a semiconductor device, and metal interconnection formed by such method
KR101147367B1 (ko) * 2005-06-02 2012-05-22 매그나칩 반도체 유한회사 반도체 소자의 제조방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821309B2 (en) * 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
US6709970B1 (en) * 2002-09-03 2004-03-23 Samsung Electronics Co., Ltd. Method for creating a damascene interconnect using a two-step electroplating process
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7041596B1 (en) 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US7442267B1 (en) 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US20070065585A1 (en) * 2005-09-21 2007-03-22 Chebiam Ramanan V Reducing electrical resistance in electrolessly deposited copper interconnects
US7365011B2 (en) * 2005-11-07 2008-04-29 Intel Corporation Catalytic nucleation monolayer for metal seed layers
US7354849B2 (en) * 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20080242078A1 (en) * 2007-03-30 2008-10-02 Asm Nutool, Inc. Process of filling deep vias for 3-d integration of substrates
CN103839872A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9054163B2 (en) 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
TWI565961B (zh) * 2015-08-13 2017-01-11 瑞昱半導體股份有限公司 衛星定位裝置及電子裝置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077571A (en) * 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
KR19980065748A (ko) * 1997-01-14 1998-10-15 김광호 반도체 소자의 금속 배선 형성방법
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP3187011B2 (ja) * 1998-08-31 2001-07-11 日本電気株式会社 半導体装置の製造方法
KR100566905B1 (ko) * 1998-09-11 2006-07-03 에이에스엠지니텍코리아 주식회사 표면 촉매를 이용한 화학 증착방법_
KR100281411B1 (ko) * 1998-11-26 2001-02-01 정선종 점대 다중점 가용 비트율 서비스를 위한 역방향 자원관리 셀의통합방법
US6197690B1 (en) * 1998-12-04 2001-03-06 Advanced Micro Devices, Inc. Chemically preventing Cu dendrite formation and growth by double sided scrubbing
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100413632B1 (ko) * 2001-07-23 2003-12-31 학교법인 인하학원 수소 플라즈마 및 급속 열처리의 이중 전처리 단계를포함하는 구리 전착방법
KR20040001993A (ko) * 2002-06-29 2004-01-07 주식회사 하이닉스반도체 구리 금속 배선 형성방법 및 이를 이용한 반도체 소자의다층 배선 형성방법
US7446033B2 (en) 2005-01-25 2008-11-04 Samung Electronics Co., Ltd. Method of forming a metal interconnection of a semiconductor device, and metal interconnection formed by such method
KR101147367B1 (ko) * 2005-06-02 2012-05-22 매그나칩 반도체 유한회사 반도체 소자의 제조방법
KR100758890B1 (ko) * 2005-12-28 2007-09-19 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법 및 자기 헤드의 제조 방법
KR100788374B1 (ko) * 2006-09-06 2008-01-02 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법

Also Published As

Publication number Publication date
JP4790162B2 (ja) 2011-10-12
US6593236B2 (en) 2003-07-15
KR100407680B1 (ko) 2003-12-01
US20020009884A1 (en) 2002-01-24
JP2002083813A (ja) 2002-03-22

Similar Documents

Publication Publication Date Title
KR100407680B1 (ko) 반도체 소자의 금속 배선 형성방법
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US8026605B2 (en) Interconnect structure and method of manufacturing a damascene structure
US7670944B2 (en) Conformal lining layers for damascene metallization
KR100407678B1 (ko) 반도체 소자의 구리 금속배선 형성 방법
US20050124154A1 (en) Method of forming copper interconnections for semiconductor integrated circuits on a substrate
KR100404941B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100383759B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
JP4790156B2 (ja) 半導体素子の銅金属配線形成方法
KR100403454B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100341849B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100671610B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100479016B1 (ko) 탄탈륨질화물 기판상의 화학기상증착 구리박막의 높은 밀착성을 획득하는 방법
KR20070005870A (ko) 반도체 소자의 구리 금속배선 형성방법
KR100612548B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20070007654A1 (en) Metal line of semiconductor device and method for forming thereof
KR100612543B1 (ko) 반도체 소자의 구리 금속배선 형성 방법
KR100612542B1 (ko) 반도체 소자의 구리배선 형성방법
KR20020048267A (ko) 반도체 소자의 금속 배선 형성 방법
TW518715B (en) Method of forming metal wiring in a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121101

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20131104

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee