KR100383759B1 - 반도체 소자의 구리 금속 배선 형성 방법 - Google Patents

반도체 소자의 구리 금속 배선 형성 방법 Download PDF

Info

Publication number
KR100383759B1
KR100383759B1 KR10-2000-0032918A KR20000032918A KR100383759B1 KR 100383759 B1 KR100383759 B1 KR 100383759B1 KR 20000032918 A KR20000032918 A KR 20000032918A KR 100383759 B1 KR100383759 B1 KR 100383759B1
Authority
KR
South Korea
Prior art keywords
copper
forming
hydrogen
gas
metal wiring
Prior art date
Application number
KR10-2000-0032918A
Other languages
English (en)
Other versions
KR20010112889A (ko
Inventor
표성규
김시범
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2000-0032918A priority Critical patent/KR100383759B1/ko
Priority to JP2001093076A priority patent/JP4936602B2/ja
Priority to US09/875,625 priority patent/US6645858B2/en
Priority to TW090114671A priority patent/TW501256B/zh
Publication of KR20010112889A publication Critical patent/KR20010112889A/ko
Application granted granted Critical
Publication of KR100383759B1 publication Critical patent/KR100383759B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 반도체 소자의 구리 금속 배선 형성 방법에 관한 것으로, 화학적 강화 화학기상증착(Chemically Enhanced Chemical Vapor Deposition; CECVD)법으로 구리를 증착하여 금속 배선을 형성하는 경우, 확산 장벽층을 형성하고 화학적 강화제를 이용한 화학 처리 전 플라즈마 처리를 실시하므로써, 화학적 강화제(Chemial Enhancer)가 확산 장벽층 상에 매우 균일하고 안정적으로 흡착되도록 하고, 이에 따라 구리 박막의 증착 특성을 향상시킬 수 있도록 한 반도체 소자의 구리 금속 배선 형성 방법이 개시된다.

Description

반도체 소자의 구리 금속 배선 형성 방법{Method of forming a copper metal wiring in a semiconductor drvice}
본 발명은 반도체 소자의 구리 금속 배선 형성 방법에 관한 것으로, 특히 화학적 강화 화학기상증착(Chemically Enhanced Chemical Vapor Deposition; CECVD) 방법으로 구리를 증착하여 금속 배선을 형성할 때 화학적 강화제(Chemial Enhancer)의 흡착 사이트(site)를 증가시키기 위한 반도체 소자의 구리 금속 배선형성 방법에 관한 것이다.
차세대 반도체 소자는 급격히 고성능화되고 있으며, 이에 따라 콘택 크기가 감소하고 단차(aspect ratio)가 급격화되어, 금속 배선 형성시 우수한 콘택 매립 특성 및 단차 피복성(step coverage)이 요구된다.
현재 반도체 소자의 금속 배선 형성 방법으로는 티타늄(Ti) 박막을 증착한 후 물리기상증착(Physical Vapor Deposition; 이하, 'PVD'라 함) 및 화학기상증착 (Chemical Vapor Deposition; 이하, 'CVD'라 함)법으로 알루미늄(Al)을 증착하는 방법이나, PVD법에 의해 탄탈륨(Ta) 또는 탄탈륨 나이트라이드(TaN) 박막을 확산 방지막으로 형성하고, 전기도금법으로 구리(Cu)를 증착하는 방법이 이용되고 있다. 그러나 전자의 방법은 알루미늄이 구리보다 저항이 높아서 차세대 고성능 반도체 소자의 적용에는 문제점을 가지고 있으며, 후자의 방법은 콘택 크기의 급격한 감소 및 단차의 증가로 인해 구리의 매립 특성에 한계가 있다. 또한, 구리의 확산 방지막으로 적용되고 있는 탄탈륨 나이트라이드막은 확산 방지막을 적용하지 않는 알루미늄과 비교할 때 저항 증가 효과가 크므로, 매우 얇은 박막이 요구되는 등의 문제점이 있다. 이와 같이, 알루미늄 배선 및 전기도금을 이용한 구리 배선의 적용은 차세대 반도체 소자에 많은 문제점을 가지고 있다.
이러한 문제점을 해결하기 위해 최근에는 구리 배선 증착시 CVD법을 적용하는 방법에 대한 연구가 진행되고 있는데, 이 방법은 낮은 증착 속도로 인하여 벌크 매립(bulk filling)에 한계가 있다.
최근에 이르러서는 요오드(I) 등의 촉매를 이용한 유기 금속 화학기상증착(Metal Orgaric Chemical Vapor Deposition; 이하, 'MOCVD'라 함)법을 이용하여 구리 박막을 증착하는 방법에 대한 연구가 진행되고 있는데, 이와 같이 촉매를 이용한 MOCVD법을 화학적 강화 화학기상증착(Chemically Enhanced Chemical Vapor Deposition; 이하, 'CECVD'라 함)법이라 한다. 그런데 요오드 등의 촉매 즉, 화학적 강화제(Chemical Enhanced)는 확산 장벽층의 표면 성질에 크게 의존하기 때문에, 화학적 강화제를 시드(seed)의 증착 없이 확산 장벽층 상에 직접 증착하게 되면, 화학적 강화제의 흡착 특성이 저하하게 된다. 즉, 확산 장벽층이 화학적 강화제가 충분히 안정하게 증착될 수 있는 사이트를 제공하지 못하는 비정질층이나 치밀한 박막일 경우에는 화학적 강화제가 확산 장벽층에 거의 흡착되지 못하여, 화학적 강화 효과가 거의 나타나지 않고, 이에 따라 구리 금속 배선의 매립 특성이 저하되는 문제점이 있다.
따라서, 본 발명은 CECVD법을 이용하여 구리 금속 배선을 형성하는 경우 화학적 강화제 증착 후 플라즈마 처리를 진행하므로써, 확산 장벽층 표면에 화학적 강화제의 흡착 사이트를 극대화시키고, 이에 따라 구리의 매립 특성을 향상시킬 수 있는 반도체 소자의 구리 금속 배선 형성 방법을 제공하는데 그 목적이 있다.
상술한 목적을 달성하기 위한 본 발명에 따른 반도체 소자의 구리 금속 배선 형성 방법은 하부 구조가 형성된 기판 상에 층간 절연막을 형성하고 다마신 패턴을 형성한 후 세정 공정을 실시하는 단계; 상기 다마신 패턴이 형성된 전체구조 상에확산 장벽층을 형성하는 단계; 상기 확산 장벽층이 형성된 전체 구조에 대하여 플라즈마 처리를 실시하는 단계; 상기 플라즈마 처리를 실시한 확산 장벽층 상에 화학적 강화제를 이용한 화학적 전처리를 실시하는 단계; 상기 다마신 패턴이 매립되도록 전체구조 상에 구리를 증착하는 단계; 및 상기 층간 절연막 상부 표면이 노출되도록 화학적 기계적 연마 공정을 실시하여 상기 다마신 패턴 내에만 구리 금속 배선이 잔류되도록 하는 단계를 포함하여 이루어지는 것을 특징으로 한다.
도 1a 내지 1e는 본 발명의 일 실시 예에 따른 반도체 소자의 구리 금속 배선 형성 방법을 설명하기 위해 순차적으로 도시한 소자의 단면도.
<도면의 주요 부분에 대한 부호 설명>
11 : 기판 12 : 층간 절연막
13 : 확산 장벽층 14 : 구리 금속 배선
이하, 첨부된 도면을 참조하여 본 발명의 실시 예를 상세히 설명하기로 한다.
도 1a 내지 1e는 본 발명의 일 실시 예에 따른 반도체 소자의 구리 금속배선 형성 방법을 설명하기 위해 순차적으로 도시한 소자의 단면도이다.
도 1a에 도시된 바와 같이, 하부구조가 형성된 기판(11) 상에 층간 절연막(12)을 형성한다. 이후, 싱글 다마신(Single damascene) 또는 듀얼 다마신(Dual damascene) 공정으로 층간 절연막(12)을 패터닝하여 콘택(A) 및 트렌치(B)로 이루어진 다마신 패턴을 형성하고, 세정 공정을 실시한다. 여기에서, 층간 절연막(12)은 저유전율을 갖는 절연물질을 증착하여 형성한다. 그리고, 세정 공정은 하지층이 텅스텐, 알루미늄 등의 금속층인 경우에는 RF 플라즈마를 이용하여 실시하고, 하지층이 구리로 된 금속층인 경우에는 반응성(reactive) 세정 방법을 적용하여 실시한다.
도 1b에 도시된 바와 같이, 다마신 패턴이 형성된 전체구조 상에 확산 장벽층(13)을 형성한 후, 플라즈마 처리를 실시한다.
여기에서, 확산 장벽층(13)은 티타늄 나이트라이드(TiN)를 이온화 PVD, CVD 및 MOCVD법 중 어느 하나의 방법으로 증착하여 형성하거나, 탄탈륨(Ta) 또는 탄탈륨 나이트라이드(TaN)를 이온화 PVD법 또는 CVD법으로 증착하여 형성하거나, 텅스텐 나이트라이드(WN)를 CVD법으로 증착하여 형성하거나, 티타늄 알루미늄 나이트라이드(TiAlN), 티타늄 실리콘 나이트라이드(TiSiN), 탄탈륨 실리콘 나이트라이드 (TaSiN) 중 어느 하나를 PVD 또는 CVD법으로 증착하여 형성한다.
확산 장벽층(13) 형성 후 실시하는 플라즈마 처리는 후속 공정으로 증착되는 화학적 강화제의 흡착 효과를 극대화시키기 위하여 실시하는데, 원격 플라즈마 (remote plasma) 또는 플라즈마 식각(plasma etch) 방법으로 실시한다. 원격 플라즈마를 사용하는 경우에는 반응성 처리(reactive treatment)를 이용하여 화학적 강화제의 흡착 사이트가 증가되도록 하며, 플라즈마 식각을 적용하는 경우에는 싱글 또는 듀얼 주파수 식각이 가능하다. 또한, 플라즈마 처리는 수소, 아르곤, 질소 등의 단일 가스를 이용하여 실시하거나 수소와 아르곤 혼합가스를 이용하여 실시하며, 플라즈마 처리를 단일 스텝으로 실시하거나 1 내지 10회의 다단계로 처리한다.
그리고, 플라즈마 처리시 공급 전력은 50 내지 10kW로 하고, 처리 시간은 1초 내지 10분으로 한다. 또한, 수소, 질소, 아르곤 및 헬륨의 단일 가스를 이용하여 플라즈마 처리를 실시하는 경우 각 단일 가스의 유량은 50 내지 500sccm으로 하고, 혼합 가스를 사용하는 경우에는 5 내지 95%의 수소와 5 내지 95%의 아르곤을 이용하여 실시한다.
한편, 단일 스텝을 이용하는 경우에는 단일 가스의 혼합 가스의 사용이 가능하며, 다단계 처리를 이용하는 경우에는 먼저 아르곤 단일 가스 또는 혼합 가스를 이용하여 처리한 후, 수소 가스를 이용하여 최종처리 하는 주기를 1 내지 10회 반복한다.
플라즈마 처리시 웨이퍼의 온도는 10 내지 350℃로 유지하고, 웨이퍼와 샤워 헤드의 간격은 5 내지 50㎜로 하며, 챔버 내의 압력은 0.3 내지 10Torr로 한다.
도 1c에 도시된 바와 같이, 플라즈마 처리를 실시한 확산 장벽층(13) 상에 촉매, 즉 화학적 강화제를 이용한 화학처리를 실시한다. 여기에서, 화학적 강화제로는 요오드 함유 액체 화합물, Hhfac1/2H2O, Hhfac, TMVS 중 어느 하나를 이용하거나, 순수 요오드, 요오드 함유 가스, 워터 배이퍼(Water vapor) 중 어느 하나를 이용하거나, F, Cl, Br, I, At 등 7족 원소의 액체 및 가스 상태 그리고 그 화합물의 액체 및 가스 상태 중 어느 하나를 이용하며, 처리시간은 1초 내지 10분으로 한다. 또한, 촉매 처리 온도는 -20 내지 300℃로 한다.
도 1d에 도시된 바와 같이, 다마신 패턴이 매립되도록 전체구조 상에 구리 금속배선(14)을 형성한다.
여기에서, 구리의 매립은 (hfac)CuVTMOS 계열, (hfac)CuDMB 계열, (hfac)CuTMVS 계열 등의 hfac를 이용한 모든 종류의 구리 전구체를 이용하여 실시하며, 다이렉트 리퀴드 인젝션(Direct Liquid Injection; DLI), 컨트롤 에바포레이션 믹서(Control Evaporation Mixer; CEM), 오리피스(Orifice), 스프래이 등의 리퀴드 딜리버리 시스템(Liquid Delivery System; LDS)이 장착된 모든 증착장비를 이용한 MOCVD법으로 증착한다. 이때, 구리 전구체의 유량은 0.1 내지 5.0sccm이 되도록 한다.
또한, 구리 금속 배선 형성시 캐리어 가스로는 헬륨, 수소, 아르곤 등을 사용하고, 그 유량은 100 내지 700sccm으로 한다. 그리고, 반응 챔버 내의 압력은 0.5 내지 5Torr를 유지하도록 하고, 반응 챔버 내의 온도는 증착 장비의 온도와 동일하게 유지하며 샤워 헤드의 온도가 일정하게 유지되도록 제어한다.
그리고, 구리의 증착 온도는 50 내지 300℃로 하고, 반응 챔버 내에서 샤워 헤드와 서셉터 플래이트와의 간격은 5 내지 50㎜가 유지되도록 한다.
이상과 같은 방법으로 구리를 매립한 후에는 수소 환원 분위기 및 상온 내지 450℃의 온도에서 1분 내지 3시간동안 열처리를 하여 그래인 조직 형태를 변화시킨다. 이 때의 수소 환원 분위기는 H2만을 적용하거나 H2에 0∼95%의 Ar 또는 N2가 혼합된 수소 혼합 기체를 사용한다.
도 1e에 도시된 바와 같이, 다마신 패턴 내에만 구리 금속배선(14)이 잔류되도록 화학적 기계적 연마(CMP) 공정을 실시하여 층간 절연막(13) 표면이 노출되도록 한다. 이후, 세정 공정을 실시한다.
상술한 바와 같이, 본 발명에 의하면 CECVD법으로 구리 금속배선을 형성하는 경우, 확산 장벽층을 형성하고 화학적 강화제를 이용한 화학처리를 실시한 후 플라즈마 처리를 실시하므로써, 화학적 강화제의 흡착 사이트를 급격히 증가시킬 수 있어 화학적 강화제가 확산 장벽층 상에 매우 균일하고 안정적으로 흡착되게 되고, 결과적으로 구리 박막을 높은 증착 속도로 증착할 수 있다. 이에 따라, 반도체 소자의 제조 원가 및 스루우 풋(Through put)을 개선할 수 있다.

Claims (23)

  1. 하부 구조가 형성된 기판 상에 층간 절연막을 형성하고 다마신 패턴을 형성한 후 세정 공정을 실시하는 단계;
    상기 다마신 패턴이 형성된 전체구조 상에 확산 장벽층을 형성하는 단계;
    상기 확산 장벽층이 형성된 전체 구조에 대하여 플라즈마 처리를 실시하는 단계;
    상기 플라즈마 처리를 실시한 확산 장벽층 상에 화학적 강화제를 이용한 화학적 전처리를 실시하는 단계;
    상기 다마신 패턴이 매립되도록 전체구조 상에 구리를 증착하는 단계; 및
    상기 층간 절연막 상부 표면이 노출되도록 화학적 기계적 연마 공정을 실시하여 상기 다마신 패턴 내에만 구리 금속 배선이 잔류되도록 하는 단계를 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  2. 제 1 항에 있어서, 상기 세정 공정은 하지층이 텅스텐, 알루미늄 등으로 된 금속층인 경우에는 RF 플라즈마를 이용하여 실시하고, 하지층이 구리로 된 금속층인 경우에는 반응성 세정 방법을 적용하여 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  3. 제 1 항에 있어서, 상기 확산 장벽층은 티타늄 나이트라이드를 이온화 PVD, CVD 및 MOCVD법 중 어느 하나의 방법으로 증착하여 형성하거나, 탄탈륨 또는 탄탈륨 나이트라이드를 이온화 PVD법 또는 CVD법으로 증착하여 형성하거나, 텅스텐 나이트라이드를 CVD법으로 증착하여 형성하거나, 티타늄 알루미늄 나이트라이드, 티타늄 실리콘 나이트라이드, 탄탈륨 실리콘 나이트라이드 중 어느 하나를 PVD 또는 CVD법으로 증착하여 형성하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  4. 제 1 항에 있어서, 상기 플라즈마 처리는 원격 플라즈마 또는 플라즈마 식각 방법으로 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  5. 제 1 항에 있어서, 상기 플라즈마 처리는 수소, 아르곤, 질소 등의 단일 가스를 이용하여 실시하거나 수소와 아르곤 혼합가스를 이용하여 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  6. 제 1 항에 있어서, 상기 플라즈마 처리는 단일 스텝으로 실시하거나 1 내지10회의 다단계로 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속배선 형성 방법.
  7. 제 1 항에 있어서, 상기 플라즈마 처리시 공급 전력은 50 내지 10kW로 하고, 처리 시간은 1초 내지 10분으로 하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  8. 제 1 항 또는 제 5 항에 있어서, 상기 플라즈마 처리는 수소, 질소, 아르곤 및 헬륨의 단일 가스를 이용하여 실시하는 경우 각 단일 가스의 유량을 50 내지 500sccm으로 하고, 혼합 가스를 사용하는 경우에는 5 내지 95%의 수소와 5 내지 95%의 아르곤을 이용하여 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  9. 제 1 항 또는 제 6 항에 있어서, 상기 플라즈마 처리는 단일 스텝을 이용하는 경우에는 단일 가스 및 혼합 가스를 이용하여 실시하며, 다단계로 실시하는 경우에는 아르곤 단일 가스 또는 혼합 가스를 이용하여 처리한 후, 수소 가스를 이용하여 최종처리 하는 주기를 1 내지 10회 반복 실시하는 것을 특징으로 하는 반도체소자의 구리 금속 배선 형성 방법.
  10. 제 1 항에 있어서, 상기 플라즈마 처리시 웨이퍼의 온도는 10 내지 350℃로 유지하고, 웨이퍼와 샤워 헤드의 간격은 5 내지 50㎜로 하며, 챔버 내의 압력은 0.3 내지 10Torr로 하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  11. 제 1 항에 있어서, 상기 화학적 강화제로는 요오드 함유 액체 화합물, Hhfac1/2H2O, Hhfac, TMVS 중 어느 하나를 이용하거나, 순수 요오드, 요오드 함유 가스, 워터 배이퍼 중 어느 하나를 이용하거나, F, Cl, Br, I, At 등 7족 원소의 액체 및 가스 상태 그리고 그 화합물의 액체 및 가스 상태 중 어느 하나를 이용하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  12. 제 1 항에 있어서, 상기 화학적 전처리는 1초 내지 10분 동안 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  13. 제 1 항에 있어서, 상기 화학적 전처리는 -20 내지 300℃의 온도 범위에서 실시하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  14. 제 1 항에 있어서, 상기 구리는 (hfac)CuVTMOS 계열, (hfac)CuDMB 계열, (hfac)CuTMVS 계열 등의 hfac를 이용한 모든 종류의 구리 전구체를 이용하여 증착하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성방법.
  15. 제 1 항에 있어서, 상기 구리는 다이렉트 리퀴드 인젝션, 컨트롤 에바포레이션 믹서, 오리피스, 스프래이 등의 리퀴드 딜리버리 시스템이 장착된 모든 증착장비를 이용한 MOCVD법으로 증착하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  16. 제 1 항에 있어서, 상기 구리는 0.1 내지 5.0sccm 유량의 전구체를 이용하여 증착하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  17. 제 1 항에 있어서, 상기 구리는 헬륨, 수소, 아르곤 등의 캐리어 가스를 100 내지 700sccm의 유량으로 플로우하면서 증착하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  18. 제 1 항에 있어서, 상기 구리 증착시 반응 챔버 내의 압력은 0.5 내지 5Torr로 하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  19. 제 1 항에 있어서, 상기 구리 증착시 반응 챔버 내의 온도는 증착 장비의 온도와 동일하게 유지하며 샤워 헤드의 온도가 일정하게 유지되도록 제어하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  20. 제 1 항에 있어서, 상기 구리는 50 내지 300℃의 온도 조건에서 증착하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  21. 제 1 항에 있어서, 상기 구리 증착시 반응 챔버 내에서 샤워 헤드와 서셉터 플래이트와의 간격이 5 내지 50㎜가 유지되도록 하는 것을 특징으로 하는 반도체소자의 구리 금속 배선 형성 방법.
  22. 제 1 항에 있어서, 상기 구리 증착 후 수소 환원 분위기와 상온 내지 450℃의 온도 분위기에서 1분 내지 3시간동안 열처리하는 단계를 더 포함하여 이루어지는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
  23. 제 22 항에 있어서, 상기 수소 환원 분위기는 수소만을 적용 하거나 수소에 0∼95%의 Ar 또는 N2가 혼합된 수소 혼합 기체를 사용하는 것을 특징으로 하는 반도체 소자의 구리 금속 배선 형성 방법.
KR10-2000-0032918A 2000-06-15 2000-06-15 반도체 소자의 구리 금속 배선 형성 방법 KR100383759B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2000-0032918A KR100383759B1 (ko) 2000-06-15 2000-06-15 반도체 소자의 구리 금속 배선 형성 방법
JP2001093076A JP4936602B2 (ja) 2000-06-15 2001-03-28 半導体素子の銅金属配線形成方法
US09/875,625 US6645858B2 (en) 2000-06-15 2001-06-06 Method of catalyzing copper deposition in a damascene structure by plasma treating the barrier layer and then applying a catalyst such as iodine or iodine compounds to the barrier layer
TW090114671A TW501256B (en) 2000-06-15 2001-06-18 Method of manufacturing a copper metal wiring in a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0032918A KR100383759B1 (ko) 2000-06-15 2000-06-15 반도체 소자의 구리 금속 배선 형성 방법

Publications (2)

Publication Number Publication Date
KR20010112889A KR20010112889A (ko) 2001-12-22
KR100383759B1 true KR100383759B1 (ko) 2003-05-14

Family

ID=19671987

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0032918A KR100383759B1 (ko) 2000-06-15 2000-06-15 반도체 소자의 구리 금속 배선 형성 방법

Country Status (4)

Country Link
US (1) US6645858B2 (ko)
JP (1) JP4936602B2 (ko)
KR (1) KR100383759B1 (ko)
TW (1) TW501256B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101064962B1 (ko) 2008-12-15 2011-09-16 한국전자통신연구원 적외선 센서의 제조 방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
KR100671610B1 (ko) * 2000-10-26 2007-01-18 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
WO2004109770A2 (en) * 2003-06-05 2004-12-16 Oticon A/S Through wafer via process and amplifier with through wafer via
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
KR100710201B1 (ko) * 2005-07-08 2007-04-20 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7387962B2 (en) * 2005-10-17 2008-06-17 Samsung Electronics Co., Ltd Physical vapor deposition methods for forming hydrogen-stuffed trench liners for copper-based metallization
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
CN102132398B (zh) 2008-03-21 2015-01-28 哈佛学院院长等 用于互连的自对准阻挡层
SG10201503679UA (en) * 2009-10-23 2015-06-29 Harvard College Self-aligned barrier and capping layers for interconnects

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0922907A (ja) * 1995-07-05 1997-01-21 Fujitsu Ltd 埋め込み導電層の形成方法
JPH1126394A (ja) * 1997-07-08 1999-01-29 Toshiba Corp 半導体装置の製造方法
KR20000003563A (ko) * 1998-06-29 2000-01-15 김영환 반도체 소자의 금속배선 제조방법
KR20000022014A (ko) * 1998-09-11 2000-04-25 이지화 표면 촉매를 이용한 화학 증착방법
KR20010066720A (ko) * 1999-12-15 2001-07-11 이경수 구리배선 형성방법
KR20010096408A (ko) * 2000-04-11 2001-11-07 이경수 금속 배선 형성방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291343B1 (en) * 1994-11-14 2001-09-18 Applied Materials, Inc. Plasma annealing of substrates to improve adhesion
US5918150A (en) * 1996-10-11 1999-06-29 Sharp Microelectronics Technology, Inc. Method for a chemical vapor deposition of copper on an ion prepared conductive surface
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US5767301A (en) * 1997-01-21 1998-06-16 Sharp Microelectronics Technology, Inc. Precursor with (alkyloxy)(alkyl)-silylolefin ligand to deposit copper
US6121140A (en) * 1997-10-09 2000-09-19 Tokyo Electron Limited Method of improving surface morphology and reducing resistivity of chemical vapor deposition-metal films
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6297147B1 (en) * 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100465982B1 (ko) * 1999-12-15 2005-01-13 지니텍 주식회사 촉매와 화학적 기상 증착 방법을 이용하여 구리 배선과박막을 형성하는 방법
KR100407679B1 (ko) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성방법
KR100404941B1 (ko) * 2000-06-20 2003-11-07 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100671610B1 (ko) * 2000-10-26 2007-01-18 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR20020065230A (ko) * 2001-02-06 2002-08-13 지니텍 주식회사 수소 플라즈마 처리와 표면 촉매를 이용한 구리 막의 화학증착 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0922907A (ja) * 1995-07-05 1997-01-21 Fujitsu Ltd 埋め込み導電層の形成方法
JPH1126394A (ja) * 1997-07-08 1999-01-29 Toshiba Corp 半導体装置の製造方法
KR20000003563A (ko) * 1998-06-29 2000-01-15 김영환 반도체 소자의 금속배선 제조방법
KR20000022014A (ko) * 1998-09-11 2000-04-25 이지화 표면 촉매를 이용한 화학 증착방법
KR20010066720A (ko) * 1999-12-15 2001-07-11 이경수 구리배선 형성방법
KR20010096408A (ko) * 2000-04-11 2001-11-07 이경수 금속 배선 형성방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101064962B1 (ko) 2008-12-15 2011-09-16 한국전자통신연구원 적외선 센서의 제조 방법

Also Published As

Publication number Publication date
US6645858B2 (en) 2003-11-11
KR20010112889A (ko) 2001-12-22
JP2002016137A (ja) 2002-01-18
TW501256B (en) 2002-09-01
US20010053603A1 (en) 2001-12-20
JP4936602B2 (ja) 2012-05-23

Similar Documents

Publication Publication Date Title
KR100407678B1 (ko) 반도체 소자의 구리 금속배선 형성 방법
US8026605B2 (en) Interconnect structure and method of manufacturing a damascene structure
EP1221177B1 (en) Conformal lining layers for damascene metallization
KR100407680B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100383759B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
KR100404941B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100407679B1 (ko) 반도체 소자의 구리 금속 배선 형성방법
KR100341849B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100671610B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100612543B1 (ko) 반도체 소자의 구리 금속배선 형성 방법
KR100398038B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100743770B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
KR100612548B1 (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120323

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee