KR102663818B1 - 멀티-스테이지 핵생성 억제와 함께 피처 충진 - Google Patents

멀티-스테이지 핵생성 억제와 함께 피처 충진 Download PDF

Info

Publication number
KR102663818B1
KR102663818B1 KR1020160060657A KR20160060657A KR102663818B1 KR 102663818 B1 KR102663818 B1 KR 102663818B1 KR 1020160060657 A KR1020160060657 A KR 1020160060657A KR 20160060657 A KR20160060657 A KR 20160060657A KR 102663818 B1 KR102663818 B1 KR 102663818B1
Authority
KR
South Korea
Prior art keywords
feature
stage
suppression
tungsten
plasma
Prior art date
Application number
KR1020160060657A
Other languages
English (en)
Other versions
KR20160135672A (ko
Inventor
데키 왕
아난드 찬드랴쉐커
라아쉬나 후마윤
미첼 다넥
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160135672A publication Critical patent/KR20160135672A/ko
Priority to KR1020240057799A priority Critical patent/KR20240060779A/ko
Application granted granted Critical
Publication of KR102663818B1 publication Critical patent/KR102663818B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

텅스텐 핵생성의 억제를 수반하는, 텅스텐으로 피처들을 충진하는 방법들 및 관련된 시스템들 및 장치가 본 명세서에 기술된다. 일부 실시예들에서, 방법들은 피처 프로파일을 따라 선택적인 억제를 수반한다. 텅스텐 핵생성을 선택적으로 억제하는 방법들은 피처를 직접 플라즈마 또는 리모트 플라즈마에 노출시키는 것을 포함할 수 있다. 방법들은 스테이지들 간의 인터벌들을 포함하는, 멀티-스테이지 억제 처리들을 수행하는 것을 포함한다. 인터벌 동안 플라즈마 소스 전력, 기판 바이어스 전력, 또는 처리 가스 흐름 중 하나 이상이 감소되거나 턴오프될 수도 있다. 본 명세서에 기술된 방법들은 텅스텐 비아들과 같은 수직 피처들, 및 VNAND (vertical NAND) 워드선들과 같은 수평 피처들을 충진하도록 사용될 수 있다. 방법들은 컨포멀한 충진 및 보텀-업/인사이드-아웃 충진 양자에 사용될 수도 있다. 애플리케이션들의 예들은 로직 및 메모리 콘택트 충진, DRAM 매립 워드선 충진, 수직으로 통합된 메모리 게이트 및 워드선 충진, 및 TSV들 (through-silicon vias) 을 사용하는 3-D 통합을 포함한다.

Description

멀티-스테이지 핵생성 억제와 함께 피처 충진{FEATURE FILL WITH MULTI-STAGE NUCLEATION INHIBITION}
CVD (chemical vapor deposition) 기법들을 사용하는 도전성 재료들의 증착은 많은 반도체 제조 프로세스들의 필수적인 부분이다. 이들 재료들은 수평적 상호연결부들, 인접한 금속층들 간의 비아들, 실리콘 기판 상의 디바이스들과 제 1 금속층들 간의 콘택트들, 및 고 종횡비 피처들에 사용될 수도 있다. 종래의 텅스텐 증착 프로세스에서, 기판은 증착 챔버 내에서 미리 결정된 프로세스 온도로 가열되고, 씨드층 또는 핵생성층으로서 역할을 하는 텅스텐 함유 재료들의 박층이 증착된다. 그 후, 텅스텐 함유 재료의 나머지 (벌크층) 가 핵생성층 상에 증착된다. 관례적으로, 텅스텐 함유 재료들은 수소 (H2) 를 사용한 텅스텐 헥사플루오라이드 (WF6) 의 환원에 의해 형성되었다. 텅스텐 함유 재료들은 피처들 및 필드 영역을 포함하는 기판의 전체 노출된 표면 영역들 위에 증착된다.
작고, 특히 고 종횡비 피처들 내로 텅스텐 함유 재료들을 증착하는 것은 충진된 피처들 내로 심들 및 보이드들의 형성을 유발할 수도 있다. 대형 심들 및 보이드들은 고 저항, 오염, 충진된 재료들의 손실, 그렇지 않으면 집적 회로들의 퍼포먼스 열화를 유발할 수도 있다. 예를 들어, 심은 충진 프로세스 후에 필드 영역에 가깝게 연장되고 이어서 화학적-기계적 평탄화 동안 개방될 수도 있다.
일 양태에서, 기판 상의 피처를 억제하는 방법이 제공된다. 방법은 하나 이상의 피처 개구들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 및 제 1 스테이지와 제 2 스테이지 사이에 인터벌을 갖는 적어도 제 1 스테이지 및 제 2 스테이지에서 처리 가스로부터 생성된 플라즈마에 피처를 노출시키는 것을 포함하는 멀티-스테이지 억제 처리를 수행하는 단계를 포함할 수도 있고, 인터벌 동안 플라즈마 소스 전력, 기판 바이어스, 또는 처리 가스 플로우 레이트 중 하나 이상이 감소되고, 억제 처리는 피처 개구들에서 금속의 핵생성을 우선적으로 억제한다.
일부 실시예들에서, 플라즈마 소스 전력, 기판 바이어스, 또는 처리 가스 플로우 레이트는 인터벌 동안 턴오프된다. 멀티-스테이지 억제 처리는 기판에 바이어스를 인가하는 동안 피처를 직접 플라즈마에 노출시키는 것을 포함할 수도 있다. 일부 실시예들에서, 플라즈마는 질소, 수소, 산소, 및 탄소 중 하나 이상의 활성화된 종을 포함한다. 일부 실시예들에서 플라즈마는 질소계 플라즈마 또는 수소계 플라즈마일 수도 있다. 피처 내의 멀티-스테이지 억제 처리는 피처를 리모트-생성 플라즈마에 노출시키는 것을 포함할 수도 있다. 리모트-생성 플라즈마는 피처를 이온에 거의 또는 전혀 노출시키지 않고, 라디칼계 플라즈마일 수도 있다.
일부 실시예들에서, 방법은, 멀티-스테이지 억제 처리 전에 피처 내에 텅스텐층을 증착하는 단계를 포함한다. 일부 실시예들에서, 멀티-스테이지 억제 처리는 피처의 금속 나이트라이드 표면을 처리하는 것을 포함한다. 일부 실시예에서, 방법은, 멀티-스테이지 억제 처리 후에, 멀티-스테이지 억제 처리에 의해 형성된 억제 프로파일에 따라 피처 내에 텅스텐을 선택적으로 증착하는 단계를 포함한다. 방법은 피처를 충진하기 위해 멀티-스테이지 억제 처리들 및 선택적인 증착의 사이클을 1 회 이상 반복하는 단계를 더 포함할 수도 있다.
멀티-스테이지 억제 처리는 피처 내의 재료를 에칭하지 않고 수행될 수도 있다. 또한, 일부 실시예들에서, 피처 충진은 피처 내의 재료를 에칭하지 않고 수행될 수도 있다. 일부 실시예들에서, 피처는 3-D 구조체의 일부이다.
적어도 피처 내의 협착부가 우선적으로 억제될 수도 있다. 일부 실시예들에서, 탈억제 처리는 멀티-스테이지 억제 처리 전, 후, 또는 동안 수행될 수도 있다.
다양한 실시예들에 따라, 제 1 스테이지 동안 플라즈마 소스 전력, 기판 바이어스 전력, 처리 가스 플로우, 및 챔버 압력 중 하나는 제 2 스테이지 동안과 상이하다.
또 다른 양태는 하나 이상의 피처 개구들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 피처 축을 따라 차동 억제 프로파일이 있도록 스테이지들 간에 인터벌을 갖는 2 이상의 스테이지들에서 멀티-스테이지 억제 처리를 수행하는 단계; 및 수정된 차동 억제 프로파일에 따라 피처 내에 텅스텐을 선택적으로 증착하는 단계를 포함하는 피처를 충진하는 방법에 관련된다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 보다 완전히 기술된다.
도 1a 내지 도 1g는 본 명세서에 기술된 프로세스들에 따라 충진될 수 있는 다양한 구조체들의 예들을 도시한다.
도 2a 내지 도 2c는 텅스텐으로 피처들을 충진하는 방법들의 특정한 동작들을 예시하는 프로세스 흐름도들이다.
도 2d는 억제 처리 전에 증착된 텅스텐층의 두께의 함수로서 (억제 후) 성장 지연 시간을 도시하는 그래프이다.
도 3a 내지 도 3c는 선택적인 억제 방법들에서 특정한 동작들을 예시하는 프로세스 흐름도들이다.
도 3d는 억제 전 공기로의 노출 지속기간의 함수로서 억제 조정을 도시하는 그래프이다.
도 4a 내지 도 4e는 기판을 질소계 플라즈마 또는 다른 억제 화학물질에 노출한 후 그리고 텅스텐 증착 전에 기판을 처리하는 특정한 동작들을 예시하는 프로세스 흐름도들이다.
도 4f는 억제 후 어닐링 지속기간의 함수로서 억제 조정을 도시하는 그래프이다.
도 4g는 프로세스 A를 사용하여 제어될 다양한 탈억제 (de-inhibition) 프로세스들 (억제 없이 증착) 의 효과를 비교하는 그래프이다.
도 4h는 도 4g의 프로세스들 각각에 의해 증착된 텅스텐 막들에 대한 두께의 함수로서 저항률을 도시한다.
도 5a 내지 도 5c는 탈억제 동작들을 포함하는 텅스텐 피처 충진 방법들의 특정한 동작들을 예시하는 프로세스 흐름도들이다.
도 5d, 도 6 및 도 7은 피처 충진의 다양한 스테이지들에서의 피처들을 도시하는 개략적인 도면들이다.
도 8은 멀티-스테이지 억제 처리를 위한 소스 전력 및 바이어스 전력의 예를 도시한다.
도 9는 3-D 구조체들의 단일-스테이지 처리들 및 멀티-스테이지 처리들의 개략적인 예시들을 도시한다.
도 10a는 기판에 바이어스가 인가되지 않는 단일-스테이지 처리들 및 멀티-스테이지 처리들 동안 억제 처리 시간의 함수로서 핵생성 지연 시간을 도시한다.
도 10b는 기판에 200 W 바이어스 전력이 인가된 단일-스테이지 처리들 및 멀티-스테이지 처리들 동안 억제 처리 시간의 함수로서 핵생성 지연 시간을 도시한다.
도 11a는 균일성에 대한 전면측 가스 튜닝 및 후면측 가스 튜닝의 결과들을 도시한다.
도 11b, 도 12a 및 도 12b는 본 명세서에 기술된 방법들을 실시하기에 적합한 장치의 예들을 도시하는 개략도들이다.
이하의 기술에서, 본 발명의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 본 발명은 이들 구체적인 상세들의 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 본 발명이 구체적인 실시예들과 함께 기술될 것이지만, 이는 본 발명을 이 실시예들로 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
텅스텐을 사용하여 피처들을 충진하는 방법들 및 관련된 시스템들 및 장치가 본 명세서에 기술된다. 애플리케이션의 예들은 로직 및 메모리 콘택트 충진, DRAM 매립 워드라인 충진, 수직으로 집적된 메모리 게이트/워드라인 충진, 및 TSV들 (through-silicon vias) 을 사용한 3-D 집적을 포함한다. 본 명세서에 기술된 방법들은 텅스텐 비아들과 같은 수직 피처들, 및 VNAND (vertical NAND) 워드라인들과 같은 수평 피처들을 충진도록 사용될 수 있다. 방법들은 컨포멀하고 보텀-업 또는 인사이드-아웃 충진을 위해 사용될 수도 있다.
다양한 실시예들에 따라, 피처는 좁은 개구들 및/또는 재차 들어간 개구들, 피처 내의 협착부들, 및 고 종횡비들 중 하나 이상을 특징으로 할 수 있다. 충진될 수 있는 피처들의 예들이 도 1a 내지 도 1c에 도시된다. 도 1a는 텅스텐으로 충진될 수직 피처 (101) 의 단면도의 예를 도시한다. 피처는 기판 (103) 내에 피처 홀 (105) 을 포함할 수 있다. 기판은 그 위에 증착된 유전체, 도전성 또는 반도전성 재료와 같은 재료의 하나 이상의 층들을 갖는 웨이퍼들을 포함하는 실리콘 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 일부 실시예들에서, 피처 홀 (105) 은 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1 이상의 종횡비를 가질 수도 있다. 피처 홀 (105) 은 또한 개구에 가까운 치수, 예를 들어 약 10 ㎚ 내지 500 ㎚, 예를 들어 약 25 ㎚ 내지 300 ㎚의 개구 직경 또는 라인 폭을 가질 수도 있다. 피처 홀 (105) 은 충진되지 않은 피처 또는 단순히 피처로서 참조될 수도 있다. 피처, 및 임의의 피처는 부분적으로 수직 축들을 갖는 수직으로 배향된 피처들 및 수평 축들을 갖는 수평 배향 피처들과 함께, 피처의 길이로 연장하는 축 (118) 을 특징으로 할 수도 있다.
도 1b는 재차들어간 프로파일을 갖는 피처 (101) 의 예를 도시한다. 재차들어간 프로파일은 피처의 하단부, 피처의 폐쇄된 단부, 또는 피처의 내부로부터 피처 개구로 좁아지는 프로파일이다. 다양한 실시예들에 따라, 프로파일은 점진적으로 좁아질 수도 있고 그리고/또는 피처 개구에서 오버행을 포함할 수도 있다. 도 1b는 피처 홀 (105) 의 측벽 또는 내부 표면들을 라이닝하는 하부층 (113) 을 갖는 후자의 예를 도시한다. 하부층 (113) 은 예를 들어, 확산 배리어층, 접착층, 핵생성층, 이들의 조합, 또는 임의의 다른 적절한 재료일 수 있다. 이러한 하부층들의 예들은 티타늄 나이트라이드 (TiN) 하부층들, 티타늄/티타늄 나이트라이드 (Ti/TiN) 하부층들, 및 텅스텐 나이트라이드 (WN) 하부층들을 포함한다. 하부층 (113) 은 하부층 (113) 이 피처 (101) 내부보다 피처 (101) 의 개구 근방에서 보다 두껍도록 오버행 (115) 을 형성한다.
일부 실시예들에서, 피처 내에 하나 이상의 협착부들을 갖는 피처들은 충진될 수도 있다. 도 1c는 협착부들을 갖는 다양한 충진된 피처들의 도면들의 예들을 도시한다. 도 1c의 예들 ((a), (b) 및 (c)) 각각은 피처 내 중간지점에 협착부 (109) 를 포함한다. 협착부 (109) 는, 예를 들어, 약 15 ㎚ 내지 20 ㎚ 폭일 수 있다. 협착부들은 종래의 기법들을 사용한 피처 내의 텅스텐의 증착 동안 피처의 일부가 충진되기 전에 피처 내에 보이드들을 발생시키는, 협착부를 넘는 추가 증착을 방지하는 증착된 텅스텐으로 핀치 오프를 유발할 수 있다. 예 (b) 는 피처 개구에서 라이너/배리어 오버행 (115) 을 더 포함한다. 이러한 오버행은 또한 전위 핀치-오프 지점일 수 있다. 예 (c) 는 예 (b) 의 오버행 (115) 보다 필드 영역으로부터 더 이격된 협착부 (112) 를 포함한다. 이하에 더 기술될 바와 같이, 본 명세서에 기술된 방법들은 도 1c에 도시된 바와 같이 보이드-프리 충진을 허용한다.
3-D 메모리 구조체들과 같은 수평적 피처들이 또한 충진될 수 있다. 도 1d는 협착부 (151) 를 포함하는 VNAND 구조체 (148) 내의 워드라인 (150) 의 예를 도시한다. 일부 실시예들에서, 협착부들은 VNAND 또는 다른 구조체 내의 필라들의 존재로 인한 것일 수 있다. 예를 들어, 도 1e는 필라들 (125) 의 단면도의 간략화된 개략도를 도시하는 도 1f와 함께 VNAND 구조체 내 필라들 (125) 의 평면도를 도시한다. 도 1e의 화살표들은 증착 재료를 나타내고, 필라들 (125) 이 영역 (127) 과 가스 유입부 또는 다른 증착 소스 사이에 배치될 때, 인접한 필라들이 영역 (127) 의 보이드 프리 충진 시 과제들을 제공하는 협착부들을 발생시킬 수 있다.
도 1g는 예를 들어, 필라 협착부들 (151) 을 포함하는 VNAND 또는 다른 구조체의 수평 피처 도면의 또 다른 예를 제공한다. 도 1g의 예는 증착될 재료가 화살표로 나타낸 바와 같이 두 측면들로부터 측방향으로 들어갈 수 있는, 개방-단부형이다. (도 1g의 예는 단면도보다는 평면으로 본 협착부들을 나타내는 도면에 도시된 필라 협착부들 및 충진될 영역의 단면일 도 1g와 함께, 구조체의 2-D 렌더링 3-D 피처들로서 보여질 수 있다는 것을 주의해야 한다.) 일부 실시예들에서, 3-D 구조체들은 3차원들 (예를 들어, 도 1f의 예에서 X, Y 및 Z 방향들) 을 따라 연장하는 충진될 영역을 특징으로 할 수 있고, 1 또는 2차원을 따라 연장하는 홀들 또는 트렌치들을 충진하는 것보다 충족해야 할 보다 많은 과제들을 나타낼 수 있다. 예를 들어, 3-D 구조체의 충진을 제어하는 것은 증착 가스들이 복수의 차원들로부터 피처로 들어갈 수도 있어서 문제가 될 수 있다.
텅스텐 함유 재료들을 사용하여 피처들을 충진하는 것은 충진된 피처들 내부에 보이드들 및 심들의 형성을 유발할 수도 있다. 보이드는 충진되지 않은 채로 남겨진 피처 내의 영역이다. 보이드는, 예를 들어, 증착된 재료들이 피처 내에서 핀치 지점을 형성할 때, 반응물질 진입 및 증착을 방지하는 피처 내의 충진되지 않은 공간에 밀봉부 (sealing off) 를 형성할 수 있다.
보이드 및 심 형성을 위한 복수의 잠재적인 요인들이 있다. 텅스텐 함유 재료들의 증착 동안 피처 개구 근방에 형성된 오버행, 또는 보다 통상적으로, 확산 배리어층 또는 핵생성층과 같은 다른 재료들이 일 요인이다. 오버행의 예는 도 1b에 도시된다.
도 1b에 도시되지 않지만 그럼에도 불구하고 심 형성 또는 심들의 확대를 야기할 수도 있는 보이드 또는 심 형성의 또 다른 요인은 피처 홀들의 커브된 측벽들이다. 이러한 커브된 측벽들을 갖는 피처들은 또한 보우된 (bow) 피처들로 지칭된다. 보우된 피처들에서, 개구 근방의 캐비티의 단면 치수는 피처 내부의 치수보다 보다 작다. 보우된 피처들의 좁아진 개구들에 의해 유발된 증착 과제들은 상기 기술된 바와 같은 오버행들에 의해 유발된 과제들과 유사하다. 도 1c, 도 1d, 및 도 1g에 도시된 바와 같은 피처 내의 협착부들은 또한 보이드들 및 심들을 거의 또는 전혀 사용하지 않은 텅스텐 충진에 대한 과제들을 제공한다.
보이드 프리 충진이 달성되더라도, 피처 내 텅스텐은 축을 통해 이동하거나 비아, 트렌치, 라인 또는 다른 피처의 중간에 심을 포함할 수도 있다. 이는 텅스텐 성장이 측벽에서 시작될 수 있고 텅스텐 입자들이 반대되는 측벽으로부터 성장하는 텅스텐과 만날 때까지 계속될 수 있기 때문이다. 이 심은 플루오르화 수소 (HF) 와 같은 불소 함유 화합물들을 포함하는 불순물들의 트랩 (trap) 을 허용할 수 있다. CMP (chemical mechanical planarization) 동안, 코어링 (coring) 이 또한 심으로부터 전파될 수 있다. 다양한 실시예들에 따라, 본 명세서에 기술된 방법들은 보이드 및 심 형성을 감소시키거나 제거할 수 있다. 본 명세서에 기술된 방법들은 또한 다음 중 하나 이상을 해결할 수도 있다:
1) 매우 도전적인 프로파일들: 본 명세서에 참조로서 인용된, 미국 특허 제 8,435,894 호에 기술된 바와 같이, 증착-에칭-증착 (dep-etch-dep) 사이클들을 사용하여 대부분의 재차 들어간 피처들에서 보이드 프리 충진이 달성될 수 있다. 그러나, 치수들 및 기하학적 구조에 따라, 복수의 dep-etch-dep 사이클들이 보이드 프리 충진을 달성하기 위해 필요할 수도 있다. 이는 프로세스 안정성 및 쓰루풋에 영향을 줄 수 있다. 본 명세서에 기술된 실시예들은 보다 적은 dep-etch-dep 사이클들 또는 dep-etch-dep 사이클들을 사용하지 않고 피처 충진을 제공할 수 있다.
2) 소형 피처들 및 라이너/배리어 영향: 피처 사이즈들이 매우 작은 경우들에서, 라이너/배리어 하부층의 무결성에 영향을 주지 않고 에칭 프로세스를 튜닝하는 것은 매우 어려울 수 있다. 일부 경우들에서 W-선택성 에칭 동안 간헐적인 티타늄 (Ti) 충격이 일어날 수 있다. 이는 에칭 동안 패시베이팅 티타늄 플루오라이드 (TiFx) 층의 형성으로 인한 것일 수도 있다.
3) W 입자 경계들에서 스캐터링: 피처 내부에 복수의 W 입자들의 존재는 입자 경계 스캐터링으로 인해 전자 손실을 발생시킬 수 있다. 그 결과, 실제 디바이스 퍼포먼스는 이론적 예측들 및 블랭킷 웨이퍼 결과들에 비해 열화될 것이다.
4) W 충진을 위해 감소된 비아 볼륨: 특히 보다 작고 보다 새로운 피처들에서, 금속 콘택트의 상당 부분이 W 배리어 (예를 들어, TiN 또는 WN, 등 배리어) 까지 사용된다. 이들 막들은 통상적으로 W보다 보다 고 저항성이고 콘택트 저항과 같은 전기적 특성들에 부정적인 영향을 준다.
도 2 내지 도 4는, 도 5 내지 도 7을 참조하여 기술된 다양한 피처들의 텅스텐 충진의 예들을 사용하여, 상기 문제들을 해결할 수 있는 텅스텐 피처 충진의 다양한 프로세스들의 개요들을 제공한다.
도 2a는 텅스텐을 사용한 피처 충진 방법의 특정한 동작들을 예시하는 프로세스 흐름도이다. 방법은 피처의 선택적인 억제로 블록 201에서 시작된다. 선택적인 패시베이션, 차동 억제 또는 차동 패시베이션으로 지칭될 수도 있는 선택적인 억제는 피처의 일부에서 후속하는 텅스텐 핵생성을 억제하는 한편, 피처의 나머지에서 핵생성을 억제하지 않는 것 (또는 보다 적은 정도로 핵생성을 억제하는 것) 을 수반한다. 예를 들어, 일부 실시예들에서, 피처는 피처 개구에서 선택적으로 억제되는 한편, 피처 내부의 핵생성은 억제되지 않는다. 선택적인 억제는 이하에 더 기술되고, 예를 들어, 피처의 일부를 플라즈마의 활성화된 종에 선택적으로 노출시키는 것을 수반할 수 있다. 특정한 실시예들에서, 예를 들어, 피처 개구는 분자 질소 가스로부터 생성된 플라즈마에 선택적으로 노출된다. 이하에서 더 논의되는 바와 같이, 피처 내에서 목표된 억제 프로파일은 억제 화학물질, 기판 바이어스 전력, 플라즈마 전력, 프로세스 압력, 노출 시간, 및 다른 프로세스 파라미터들 중 하나 이상을 적절하게 선택함으로써 형성될 수 있다.
일단 피처가 선택적으로 억제되면, 방법은 억제 프로파일에 따른 텅스텐의 선택적인 증착으로 블록 203에서 계속될 수 있다. 블록 203은 열적, 플라즈마 향상된 CVD (chemical vapor deposition) 및/또는 ALD (atomic layer deposition) 프로세스들을 포함하는, CVD 및/또는 ALD 프로세스들 중 하나 이상을 수반할 수도 있다. 증착은, 텅스텐이 피처의 보다 적게 억제된 부분들 및 억제되지 않은 부분들 상에서 우선적으로 성장하는 선택적이다. 일부 실시예들에서, 블록 203은 협착부에 도달하거나 통과할 때까지 피처의 하단 부분 또는 내부 부분에 텅스텐을 선택적으로 증착하는 것을 수반한다.
억제 프로파일에 따른 선택적인 증착이 수행된 후에, 방법은 피처의 나머지 충진으로 블록 205에서 계속될 수 있다. 특정한 실시예들에서, 블록 205은 텅스텐을 증착하기 위해 텅스텐 함유 전구체가 수소에 의해 환원되는 CVD 프로세스를 수반한다. 텅스텐 헥사플루오라이드 (WF6) 가 종종 사용되지만, 프로세스는 이로 제한되는 것은 아니지만, 텅스텐 헥사클로라이드 (WCl6), 유기-금속 전구체들, 및 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 와 같이 불소 프리 전구체들을 포함하는 다른 텅스텐 전구체들로 수행될 수도 있다. 부가적으로, CVD 증착에서 수소가 환원제로서 사용될 수 있지만, 실란을 포함하는 다른 환원제들이 수소에 부가하여 또는 수소 대신 사용될 수도 있다. 또 다른 실시예에서, 텅스텐 헥사카르보닐 (W(CO)6) 이 환원제와 함께 또는 환원제 없이 사용될 수도 있다. 이하에 더 기술된 ALD 및 펄싱된 핵생성층 (PNL) 프로세스들과 달리, CVD 기법에서, WF6 및 H2 또는 다른 반응물질들은 반응 챔버 내로 동시에 도입된다. 이는 기판 표면 상에 텅스텐 막을 연속적으로 형성하는 혼합 반응물질 가스들의 연속적인 화학 반응을 생성한다. CVD를 사용하여 텅스텐 막들을 증착하는 방법들은, 텅스텐 증착 프로세스들을 기술할 목적으로 전체가 본 명세서에 참조로서 인용된, 미국 특허 출원 번호 제 12/202,126 호, 제 12/755,248 호 및 제 12/755,259 호에 기술된다. 다양한 실시예들에 따라, 본 명세서에 기술된 방법들은 특정한 피처 충진 방법으로 제한되지 않지만, 임의의 적절한 증착 기법을 포함할 수도 있다.
일부 실시예들에서, 블록 205은 블록 203에서 시작된 CVD 증착 프로세스를 계속하는 것을 수반할 수도 있다. 이러한 CVD 프로세스는 피처의 억제되지 않은 부분들보다 보다 느리게 일어나는 핵생성과 함께, 피처의 억제된 부분들 상에서 증착을 발생시킬 수도 있다. 일부 실시예들에서, 블록 205는 피처의 적어도 억제된 부분들 위에 텅스텐 핵생성층 증착을 수반할 수도 있다.
다양한 실시예들에 따라, 선택적으로 억제된 피처 표면은 금속 나이트라이드층과 같은 배리어층 또는 라이너층일 수 있고, 또는 텅스텐의 핵생성을 촉진하도록 증착된 층일 수 있다. 도 2b는 선택적인 억제 전에 피처 내에 텅스텐 핵생성층이 증착되는 방법의 예를 도시한다. 방법은 피처 내에 텅스텐의 얇은 컨포멀한 층의 증착으로 블록 301에서 시작된다. 이 층은 그 상부에 벌크 텅스텐 함유 재료의 후속 증착을 용이하게 할 수 있다. 특정한 실시예들에서, 핵생성층은 PNL 기법을 사용하여 증착된다. PNL 기법에서, 환원제, 퍼지 가스들, 및 텅스텐 함유 전구체의 펄스들은 순차적으로 반응 챔버 내로 주입되고 반응 챔버로부터 퍼지될 수 있다. 프로세스는 목표된 두께가 달성될 때까지 순환적 방식으로 반복된다. PNL은 일반적으로 ALD 기법들을 포함하여, 반도체 기판 상에서의 반응을 위해 반응물질들을 순차적으로 첨가하는 임의의 순환적 프로세스를 구현한다. 텅스텐 핵생성층들을 증착하기 위한 PNL 기법들은, 텅스텐 증착 프로세스들을 기술할 목적으로 전체가 본 명세서에 참조로서 인용된, 미국 특허 제 6,635,965 호; 제 7,589,017 호; 제 7,141,494 호; 제 7,772,114 호; 제 8,058,170 호 및 미국 특허 출원 번호 제 12/755,248 호 및 제 12/755,259 호에 기술된다. 블록 301은 특정한 텅스텐 핵생성층 증착 방법으로 제한되지 않지만, 얇고 컨포멀한 층을 증착하기 위해 PNL, ALD, CVD, 및 PVD (physical vapor deposition) 기법들을 포함한다. 핵생성층은 고품질 벌크 증착을 지원하기 위해 피처를 완전히 덮기에 충분히 두꺼울 수 있고, 그러나, 핵생성층의 저항률이 벌크층의 저항률보다 보다 높기 때문에, 핵생성층의 두께는 가능한 낮은 총 저항을 유지하도록 최소화될 수도 있다. 블록 301에서 증착된 막들의 예시적인 두께들은 10 Å 미만으로부터 100 Å의 범위일 수 있다. 블록 301에서 텅스텐의 얇고 컨포멀한 층의 증착 후에, 방법은 도 2a를 참조하여 상기 기술된 바와 같이 블록들 201, 203, 및 205로 계속될 수 있다. 도 2b의 방법에 따라 피처를 충진하는 예는 도 5d를 참조하여 이하에 기술된다.
일부 실시예들에서, 블록 301에서 증착된 층의 두께는 후속 동작의 억제 효과를 조정하도록 사용될 수도 있다. 도 2d는 억제 처리 전에 증착된 텅스텐층의 두께의 함수로서 (억제 후) 성장 지연 시간을 도시한다. 보다 얇은 층에서 억제효과가 보다 강하다. 첫번째 데이터 지점은 핵생성층 상에서만 수행된 억제 처리를 반영한다. 컨포멀하지 않은 층들은 층들이 얇을수록 보다 강하게 억제되는, 선택적으로 억제될 수 있다.
도 2c는 피처를 충진을 완료하는 것 (예를 들어, 도 2a 또는 도 2b의 블록 205) 이 선택적인 억제 동작들 및 증착 동작들을 반복하는 것을 수반할 수 있는 방법의 예를 도시한다. 방법은 도 2에 대해 상기 기술된 바와 같이, 피처가 선택적으로 억제되는 블록 201에서 시작할 수 있고, 억제 프로파일에 따른 선택적인 증착으로 블록 203에서 계속될 수 있다. 이어서 피처 충진을 완료하기 위해 블록 201 및 블록 203은 1회 이상 반복된다 (블록 401). 도 2c의 방법에 따른 피처 충진의 예는 도 6을 참조하여 이하에 기술된다.
계속해서 추가의 선택적인 억제가 선택적인 증착과 함께 사용될 수 있다. 선택적인 증착 기법들은 상기 참조된 미국 특허 공개 번호 제 2013/0302980 호에 기술된다.
다양한 실시예들에 따라, 선택적인 억제는 피처 표면들을 패시베이팅하는 활성화된 종으로의 노출을 수반할 수 있다. 예를 들어, 특정한 실시예들에서, 텅스텐 (W) 표면은 질소계 또는 수소계 플라즈마로의 노출에 의해 패시베이팅될 수 있다. 일부 실시예들에서, 억제는 텅스텐 나이트라이드 (WN) 또는 텅스텐 카바이드 (WC) 와 같은 화합물 재료의 박층을 형성하기 위해 활성화된 종과 피처 표면 사이에서의 화학 반응을 수반할 수 있다. 일부 실시예들에서, 억제는 화합물 재료의 층을 형성하지 않고 표면을 패시베이팅하는 흡착과 같은 표면 효과를 수반할 수 있다. 활성화된 종은 플라즈마 생성에 의한 그리고/또는 UV (ultraviolet) 방사선으로의 노출을 포함하는 임의의 적절한 방법에 의해 형성될 수도 있다. 일부 실시예들에서, 피처를 포함하는 기판은 기판이 놓이는 챔버 내로 피딩된 (feed) 하나 이상의 가스들로부터 생성된 플라즈마로 노출된다. 일부 실시예들에서, 하나 이상의 가스들은 리모트 플라즈마 생성기 내로 피딩될 수도 있고 리모트 플라즈마 생성기 내에서 형성된 활성화된 종은 기판이 놓인 챔버 내로 피딩된다. 플라즈마 소스는 RF (radio frequency) 플라즈마 소스 또는 마이크로파 소스를 포함하는 임의의 타입의 소스일 수 있다. 플라즈마는 유도 결합 플라즈마 및/또는 용량 결합 플라즈마일 수 있다. 활성화된 종은 원자 종, 라디칼 종, 및 이온 종을 포함할 수 있다. 특정한 실시예들에서, 리모트-생성 플라즈마로의 노출은 억제 프로세스가 이온-매개 (ion-mediated) 되지 않도록 플라즈마 내에 실질적으로 이온 종은 존재하지 않는, 라디칼 종 및 원자화된 종으로의 노출을 포함한다. 다른 실시예들에서, 이온 종은 리모트-생성 플라즈마 내에 존재할 수도 있다. 특정한 실시예들에서, 인시츄 플라즈마로의 노출은 이온-매개된 억제를 수반한다. 이 애플리케이션의 목적들을 위해, 활성화된 종은 재결합된 종 및 플라즈마 생성기 내로 최초로 피딩된 가스들과 구별된다.
억제 화학물질들은 후속하여 증착 가스들에 노출될 표면에 맞춰질 수 있다. 예를 들어, 도 2b를 참조하여 기술된 방법에서 형성된, 텅스텐 (W) 표면들에 대해, 질소계 플라즈마 및/또는 수소계 플라즈마로의 노출은 W 표면들 상에서 후속하는 텅스텐 증착을 억제한다. 텅스텐 표면들의 억제를 위해 사용될 수도 있는 다른 화학물질들은 산소계 플라즈마 및 탄화수소계 프라즈마를 포함한다. 예를 들어, 분자 산소 또는 메탄이 플라즈마 생성기에 도입될 수도 있다.
본 명세서에 사용된 바와 같이, 질소계 플라즈마는 주 비-불활성 컴포넌트가 질소인 플라즈마이다. 아르곤, 제논, 또는 크립톤과 같은 불활성 컴포넌트가 캐리어 가스로서 사용될 수도 있다. 일부 실시예들에서, 미량을 제외하고 플라즈마가 생성된 가스에 다른 비-불활성 컴포넌트들이 존재하지 않는다. 유사하게, 수소계 플라즈마는 주 비-불활성 컴포넌트가 수소인 플라즈마이다. 일부 실시예들에서, 억제 화학물질들은 플라즈마 내에 존재하는 하나 이상의 부가적인 반응성 종과 함께, 질소 함유, 수소 함유, 산소 함유, 및/또는 탄소 함유일 수도 있다. 예를 들어, 본 명세서에 참조로서 인용된, 미국 특허 출원 번호 제 13/016,656 호는 질소 트리플루오라이드 (NF3) 로의 노출에 의한 텅스텐 표면의 패시베이션을 기술한다. 유사하게, CF4 또는 C2F8와 같은 플루오로카본이 사용될 수도 있다. 그러나, 특정한 실시예들에서, 억제 종은 선택적인 억제 동안 에칭을 방지하도록 불소 프리이다.
특정한 실시예들에서, UV 방사선은 활성화된 종을 제공하도록 플라즈마에 부가하여 또는 플라즈마 대신 사용될 수도 있다. 가스들은 기판이 놓이는 반응 챔버의 업스트림 및/또는 반응 챔버의 내부에서 UV 광에 노출될 수도 있다. 게다가, 특정한 실시예들에서, 비플라즈마, 비-UV, 열적 억제 프로세스들이 사용될 수도 있다. 텅스텐 표면들에 부가하여, 핵생성은 TiN 표면들 및/또는 WN 표면들과 같은 라이너층들/배리어층들 표면들 상에서 억제될 수도 있다. 이들 표면들을 패시베이팅하는 임의의 화학물질이 사용될 수도 있다. TiN 및 WN에 대해, 이는 질소계 또는 질소 함유 화학물질들로의 노출을 포함할 수 있다. 특정한 실시예들에서, W에 대해 상기 기술된 화학물질들은 또한 TiN, WN, 또는 다른 라이너층 표면들에 대해 채용될 수도 있다.
억제 프로파일을 튜닝하는 것은 억제 화학물질, 기판 바이어스 전력, 플라즈마 전력, 프로세스 압력, 노출 시간, 및 다른 프로세스 파라미터들을 적절하게 제어하는 것을 수반할 수 있다. 인시츄 플라즈마 프로세스들 (또는 이온 종이 존재하는 다른 프로세스들) 동안, 바이어스가 기판에 인가될 수 있다. 기판 바이어스는, 일부 실시예들에서, 억제 프로파일에 상당한 영향을 줄 수 있고, 바이어스 전력을 증가시키는 것이 피처 내에서 보다 깊은 활성 종을 발생시킨다. 예를 들어, 300 ㎜ 기판에 대한 100 W DC 바이어스는 1500 ㎚ 깊이 구조체의 상반부의 억제를 발생시킬 수도 있지만, 700 W 바이어스는 전체 구조체에서의 억제를 발생시킬 수도 있다. 특정한 선택적인 억제에 적절한 절대적인 바이어스 전력은 기판 사이즈, 시스템, 플라즈마 타입, 및 다른 프로세스 파라미터들, 뿐만 아니라 목표된 억제 프로파일에 따라 결정될 것이지만, 바이어스 전력은 탑-투-보텀 (top-to-bottom) 선택도를 튜닝하도록 사용될 수 있고, 바이어스 전력을 감소시키는 것은 보다 높은 선택도를 발생시킨다.
선택도가 수직 방향이 아니라 측방향으로 목표된 (구조체의 내부에서 텅스텐 증착이 선호되는) 3-D 구조체들에 대해, 바이어스 전력은 탑-투-보텀 증착 균일성을 촉진하도록 사용될 수 있다. 바이어스 전력은 또한 3-D 구조체의 높이 아래로 억제 종의 보다 빠른 이동을 촉진함으로써 억제 처리 시간을 감소시킬 수도 있다. 이는 쓰루풋뿐만 아니라 워드라인 (WL) 또는 다른 측방향 피처들 내부에서 바람직하지 않게 연장하는 것을 방지하는 처리에 유리할 수 있다. 후자는 보다 긴 지속기간의 처리들 동안 일어날 수도 있다.
특정한 실시예들에서 바이어스 전력은 이온 종에 대한 억제 프로파일을 튜닝하기 위한 주요 또는 유일한 노브 (knob) 로서 사용될 수 있지만, 특정한 상황들에서, 다른 선택적인 억제를 수행하는 것은 바이어스 전력에 부가하여 또는 바이어스 전력 대신 다른 파라미터들을 사용한다. 이들은 리모트 생성 비이온성 플라즈마 프로세스들 및 비플라즈마 프로세스들을 포함한다. 또한, 많은 시스템들에서, 기판 바이어스는 측방향이 아닌 수직 방향으로 선택도를 튜닝하도록 용이하게 인가될 수 있다. 따라서, 측방향 선택도가 목표되는 3-D 구조체들에 대해, 바이어스 이외의 파라미터들은 상기 기술된 바와 같이 제어될 수도 있다. 또한, 일부 실시예들에서, 억제 처리는 스퍼터링을 방지하기 위해 바이어스를 사용하지 않고 수행될 수도 있다. 예를 들어, 바이어스는 3-D 구조체의 외측부 상에 금속을 스퍼터링할 수도 있다. 스퍼터링은 억제 효과를 소거할 수도 있다.
억제 화학물질은 또한 상이한 비들의 활성 억제 종을 사용하여, 억제 프로파일을 튜닝하도록 사용될 수 있다. 예를 들어, W 표면들의 억제를 위해, 질소는 수소보다 보다 강한 억제 효과를 가질 수도 있고, 형성 가스계 플라즈마 내의 N2 가스 및 H2 가스의 비를 조정하는 것은 프로파일을 튜닝하도록 사용될 수 있다. 플라즈마 전력은 또한 플라즈마 전력에 의해 튜닝된 상이한 비의 활성 종을 사용하여, 억제 프로파일을 튜닝하도록 사용될 수도 있다. 프로세스 압력은, 압력이 보다 많은 재결합 (활성 종 비활성화 (deactivating)) 뿐만 아니라 활성 종을 피처 내로 추가 푸시를 유발할 수 있기 때문에, 프로파일을 튜닝하도록 사용될 수 있다. 프로세스 시간은 또한 억제 프로파일들을 튜닝하도록 사용될 수도 있고, 처리 시간을 증가시키는 것은 피처 내로 보다 깊은 억제를 유발한다.
일부 실시예들에서, 선택적인 억제는 대량 이송 제한된 레짐 (mass transport limited regime) 하에서 동작 201을 수행함으로써 달성될 수 있다. 이 레짐 하에서, 피처 내부 억제 레이트는 피처 내로 확산하는 상이한 억제 재료 컴포넌트들 (예를 들어, 최초 억제 종, 활성화된 억제 종, 및 재결합된 억제 종) 의 양 및/또는 상대적인 조성들에 의해 제한된다. 특정한 예들에서, 억제 레이트들은 피처 내부 상이한 위치들에서 다양한 컴포넌트들의 농도들에 따라 결정된다.
대량 이송 제한 조건들은 부분적으로, 전체적인 억제 농도 변동들을 특징으로 할 수도 있다. 특정한 실시예들에서, 농도는 피처의 개구 근방보다 피처 내부에서 보다 낮아 피처 내부보다 개구 근방에서 보다 높은 억제 레이트를 발생시킨다. 이는 결국 피처 개구 근방에서 선택적인 억제를 야기한다. 대량 이송 제한 프로세스 조건들은, 일부 활성화된 종이 피처 내로 확산되기 때문에 일부 활성화된 종을 소비하도록 피처 개구 근방에서 상대적으로 높은 억제 레이트들을 유지하는 동안, 제한된 양의 억제 종을 프로세싱 챔버 내로 공급함으로써 (예를 들어, 캐비티 프로파일 및 치수들에 비해 저 억제 가스 플로우 레이트들을 사용) 달성될 수도 있다. 특정한 실시예에서, 상대적으로 높은 억제 운동 (kinetics) 및 상대적으로 낮은 억제 공급을 유발할 수도 있는, 농도 구배 (concentration gradient) 는 상당하다. 특정한 실시예들에서, 개구 근방의 억제 레이트는 또한 대량 이송 제한될 수도 있지만, 이 조건은 선택적인 억제를 달성하기 위해 요구되는 것은 아니다.
피처들 내부에서 전체적인 억제 농도 변동들에 부가하여, 선택적인 억제는 피처 전체에서 상이한 억제 종의 상대적인 농도들에 영향을 받을 수도 있다. 이들 상대적인 농도들은 결국 억제 종의 해리 및 재결합 프로세스들의 상대적인 역학들에 따라 결정될 수 있다. 상기 기술된 바와 같이, 분자 질소와 같은, 최초 억제 재료는 리모트 플라즈마 생성기를 통과할 수 있고 그리고/또는 활성화된 종 (예를 들어, 원자 질소, 질소 이온들) 을 생성하도록 인시츄 플라즈마를 겪을 수 있다. 그러나, 활성화된 종은 보다 덜 활성인 재결합된 종 (예를 들어, 질소 분자들) 으로 재결합할 수도 있고 그리고/또는 이들의 확산 경로들을 따라 W, WN, TiN, 또는 다른 피처 표면들과 반응할 수도 있다. 이와 같이, 피처의 상이한 부분들은, 상이한 농도들의 상이한 억제 재료들, 예를 들어, 최초 억제 가스, 활성화된 억제 종, 및 재결합된 억제 종에 노출될 수도 있다. 이는 선택적인 억제를 제어하기 위한 부가적인 기회들을 제공한다. 예를 들어, 활성화된 종은 일반적으로 최초 억제 가스들 및 재결합된 억제 종보다 보다 반응성이다. 또한, 일부 경우들에서, 활성화된 종은 재결합된 종보다 온도 변동들에 보다 덜 민감할 수도 있다. 따라서, 프로세스 조건들은 제거가 활성화된 종에 대부분 귀착되는 방식으로 제어될 수도 있다. 상기 주지된 바와 같이, 일부 종은 다른 종보다 보다 반응성일 수도 있다. 또한, 구체적인 프로세스 조건들은 활성화된 종이 피처들 내부보다 피처들의 개구들 근방에서 보다 높은 농도들로 존재하게 할 수도 있다. 예를 들어, 일부 활성화된 종이 소비되고 (예를 들어, 피처 표면 재료들과 반응하고/하거나 표면 상에 흡착되고) 그리고/또는 재결합되는 한편, 피처들, 특히 고 종횡비 피처들에서 내부로 보다 깊게 확산할 수도 있다. 활성화된 종의 재결합은 또한 피처들의 외부, 예를 들어, 샤워헤드 또는 프로세싱 챔버 내에서 일어날 수 있고, 그리고 챔버 압력에 따라 결정될 수 있다. 따라서, 챔버 압력은 챔버 및 피처들의 다양한 지점들에서 활성화된 종의 농도들을 조정하도록 구체적으로 제어될 수도 있다.
억제 가스의 플로우 레이트들은 챔버의 사이즈, 반응 레이트들, 및 다른 파라미터들에 따라 결정될 수 있다. 플로우 레이트는 피처 내부보다 개구 근방에 보다 많은 억제 재료가 집중되는 방식으로 선택될 수 있다. 특정한 실시예들에서, 이들 플로우 레이트들은 대량 이송 제한된 선택적인 억제를 유발한다. 예를 들어, 195 리터 챔버/스테이션의 플로우 레이트는 약 25 sccm 내지 10,000 sccm일 수도 있고, 보다 구체적인 실시예들에서, 약 50 sccm 내지 1,000 sccm일 수도 있다. 특정한 실시예들에서, 플로우 레이트는 약 2,000 sccm 미만, 약 1,000 sccm 미만, 또는 보다 구체적으로 약 500 sccm 미만이다. 이들 값들은 300-㎜ 기판을 프로세싱하기 위해 구성된 일 개별 스테이션에 대해 제공된다는 것을 주의해야 한다. 이들 플로우 레이트들은 기판 사이즈, 장치 내에서 스테이션들의 수 (예를 들어, 4 개의 스테이션 장치에 대해 4 배), 프로세싱 챔버 볼륨, 및 다른 인자들에 따라 확대되거나 축소될 수도 있다.
특정한 실시예들에서, 기판은 선택적인 억제 전에 가열되거나 냉각될 수 있다. 스테이션 내의 가열 엘리먼트 또는 냉각 엘리먼트 (예를 들어, 페데스탈 내에 설치된 전기 저항 히터 또는 페데스탈을 통해 순환된 열 전달 유체), 기판 위의 적외선 램프들, 플라즈마 점화, 등과 같은, 다양한 디바이스들이 기판을 미리 결정된 온도가 되도록 사용될 수도 있다.
기판에 대해 미리 결정된 온도는 피처 표면과 억제 종 간의 화학 반응을 유도하고 및/또는 억제 종의 흡착을 촉진할뿐만 아니라, 반응 또는 흡착 레이트를 제어하도록 선택될 수 있다. 예를 들어, 온도는 또한 피처 내부보다 개구 근방에서 보다 많은 억제가 일어나도록 고 반응 레이트를 갖도록 선택될 수도 있다. 게다가, 온도는 또한 활성화된 종의 재결합 (예를 들어, 원자 질소의 분자 질소로의 재결합) 을 재어하도록 및/또는 억제에 주로 기여하는 종 (예를 들어, 활성화된 종 또는 재결합된 종) 을 제어하도록 선택될 수도 있다. 특정한 실시예들에서, 기판은 약 300 ℃ 미만, 또는 보다 구체적으로 약 250 ℃ 미만, 또는 약 150 ℃ 미만, 심지어 약 100 ℃ 미만으로 유지된다. 다른 실시예들에서, 기판은 약 300 ℃ 내지 450 ℃ 또는, 보다 구체적인 실시예들에서, 약 350 ℃ 내지 400 ℃로 가열된다. 다른 온도 범위들이 상이한 타입들의 억제 화학물질들에 대해 사용될 수도 있다. 노출 시간은 선택적인 억제를 유발하도록 또한 선택될 수 있다. 예시적인 노출 시간들은, 목표된 선택도 및 피처 깊이에 따라, 약 10 초 내지 500 초의 범위일 수 있다.
일부 실시예들에서, 상기 기술된 억제 처리들은 선택도를 개선하고 억제 프로파일을 튜닝하도록 조정된다. 도 3a 내지 도 3c 및 도 4a는 피처 내에서 텅스텐 증착을 선택적으로 억제하는 플로우 차트들의 예들을 제공한다. 도 3a 내지 도 3c는 질소계 플라즈마 또는 다른 억제 화학물질에 기판을 노출시키기 전에 기판을 처리하는 예들을 제공한다. 먼저, 도 3a에서, 프로세스는 피처를 포함하는 기판을 제어된 진공 브레이크 (vacuum break) 에 노출시킴으로써 시작된다 (350). 본 명세서에서 사용된 바와 같이, 진공 브레이크는 기판이 진공 하에 있지 않은 기간을 지칭한다. 블록 350에서, 기판은 대기압, 예를 들어, 저장 카세트 (예를 들어, FOUP (front opening unified pod)) 또는 로드록에 노출될 수도 있다. 일부 실시예들에서, 기판은 대기 온도 및/또는 대기 가스들 (즉, 공기) 에 노출될 수도 있다. 대안적으로, 온도 및 가스 조성이 제어될 수도 있다. 블록 350의 지속기간은 후속 억제 처리를 효과적으로 조절하도록 제어될 수도 있다. 다음에, 기판은 상기 논의된 바와 같은 억제 처리에 노출된다 (352). 특정한 예에서, 기판은 질소계 플라즈마에 노출된다. 도 3a에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스에서 블록 201의 일부로서 수행될 수도 있다. 일부 실시예들에서, 블록 350은 피처 내 박막의 증착 후에, 예를 들어 도 2b의 블록 301로 도시된 바와 같이 수행된다. 일 예에서, 텅스텐 박막은 제 1 진공 챔버에서 피처에 증착되고, 이어서 FOUP 또는 로드록 내의 제어된 진공 브레이크, 이어서 제 2 진공 챔버에서 질소계 플라즈마에 노출될 수도 있다.
도 3b의 프로세스는 도 3a와 유사하고, 피처를 포함하는 기판은 산화 화학물질에 노출된다 (354). 일부 실시예들에서, 블록 354는 반응 챔버 외부, 예를 들어 FOUP 또는 로드록 내에서 수행될 수도 있다. 대안적으로, 블록 354는 프로세싱 챔버 내에서 O2, O3, CO2, H2O, 등과 같은 산화 가스에 기판을 노출시키는 것을 수반할 수도 있다. 블록 354는 진공 하 또는 대기압에서 수행될 수도 있다. 다양한 실시예들에 따라, 블록 354는 플라즈마 활성화된 종 또는 UV 활성화된 종의 사용을 수반할 수도 있고, 또는 수반하지 않을 수도 있다. 예를 들어, 블록 354는 O2가 활성화되지 않도록 비플라즈마 조건들 하에서 O2에 기판을 노출시키는 것을 수반할 수도 있다. 블록 354에 이어서 기판을 억제 처리에 노출시킨다 (352). 특정한 예에서, 기판은 질소계 플라즈마에 노출된다. 블록 354 및 블록 352는 동일한 챔버 또는 상이한 챔버들에서 수행될 수도 있다. 도 3b에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스에서 블록 201의 일부로서 수행될 수도 있다. 일부 실시예들에서, 블록 354는, 예를 들어 도 2b의 블록 301에 도시된 바와 같은 피처 내의 박막의 증착 후에 수행된다.
일부 실시예들에서, 도 3a의 블록 350 또는 도 3b의 블록 354는 피처 내 산화 막의 형성을 수반한다. 예를 들어, (예를 들어, 도 2b의 블록 301에서와 같은) 피처 내에 얇고 컨포멀한 텅스텐 막이 증착되는 구현예들에서, 텅스텐 옥사이드 (WOx) 가 피처 내에 형성될 수도 있다. 일부 실시예들에서, 피처 내 WOx 형성은 컨포멀하지 않다.
도 3d는 다음의 시퀀스: a) 텅스텐층의 증착, b) 공기로의 노출 (진공 브레이크) 및 c) 질소계 플라즈마 억제 처리로의 노출 후에 수행된 텅스텐 증착의 성장 지연을 도시한다. 도 3d에 도시된 바와 같이, 공기 브레이크는 효과를 완화시킴으로써 질소계 플라즈마의 억제 효과를 조절한다.
도 3c의 프로세스는 피처를 포함하는 기판을 반응성 화학물질에 노출시키는 단계 (356) 를 수반한다. 반응성 화학물질들의 예들은 환원 화학물질들 (예를 들어, B2H6, SiH4) 및 텅스텐 함유 화학물질들 (예를 들어, WF6, WCl6) 을 포함한다. 블록 354에 이어서 기판이 억제 처리에 노출된다 (352). 특정한 예에서, 기판은 질소계 플라즈마에 노출된다. 블록 356 및 블록 352는 동일한 챔버 또는 상이한 챔버들에서 수행될 수도 있다. 도 3c에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스의 블록 201의 일부로서 수행될 수도 있다. 일부 실시예들에서, 블록 356은 예를 들어, 도 2b의 블록 301에 도시된 바와 같이, 피처 내 박막의 증착 후에 수행된다. 블록 356은 소킹 (soak) 으로 지칭될 수도 있고, 일반적으로 비플라즈마 동작이다.
이하의 표 1은 디보란 소킹 후 수행된 억제와 소킹 없이 수행된 억제를 비교하였다. 양 프로세스들에 대해, 100 Å의 텅스텐 핵생성층이 증착되고, 이어서 소킹 동작이 이어지거나 소킹 동작이 없이, 이어서 질소 플라즈마로의 노출이 이어진다. 억제 처리에 이어지는 증착 동작은 억제 처리 300 초 (지연 포함) 였다.
억제 처리 전 디보란 소킹 사용한 억제 및 디보란 소킹을 사용하지 않은 억제
억제 전 B2H6 소킹 (초) 300 초 W 증착: 두께 (Å) 300 초 W 증착: 지연 (초)
0 897 221
15 100 > 300 초
표 1의 결과들은 B2H6 풍부 표면은 B2H6를 증가시킴으로써 억제 효과를 조절하는 것을 나타낸다.
도 4a 내지 도 4e는 기판을 질소계 플라즈마 또는 다른 억제 화학물질에 노출시킨 후 그리고 텅스텐 증착 전에 기판을 처리하는 예들을 제공한다. 이 처리는 억제를 조절한다. 먼저, 도 4a에서, 프로세스는 상기 논의된 바와 같은 억제 처리에 피처를 포함하는 기판을 노출시키는 단계 (450) 를 포함한다. 특정한 예에서, 기판은 질소계 플라즈마에 노출된다. 다음에, 기판은 어닐링된다 (452). 블록 452는 예를 들어, 적어도 50 ℃, 100 ℃ 또는 200 ℃만큼 온도를 상승시키는 것을 수반할 수도 있다. 어닐링은, 예를 들어 불활성 분위기 내, 또는 산화 환경 내에서 수행될 수도 있다. 블록 450 및 블록 452는 동일한 챔버 또는 상이한 챔버들에서 수행될 수도 있다. 도 4a에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스의 블록 201의 일부로서 수행될 수도 있다. 블록 452는 후속 텅스텐 증착이 수행될 챔버 내에서 수행될 수도 있다. 일부 실시예들에서, 블록 450은 도 3a 내지 도 3c의 블록 352의 일부로서, 즉, 조절 전처리 후에 수행될 수도 있다.
도 4b의 프로세스는 상기 기술된 바와 같이 피처를 포함하는 기판의 억제 처리로의 노출 (450) 후에 반응성 화학물질에 피처를 포함하는 기판을 노출하는 것 (454) 을 수반한다. 반응성 화학물질들의 예들은 환원 화학물질들 (예를 들어, B2H6, SiH4) 및 텅스텐 함유 화학물질들 (예를 들어, WF6, WCl6) 을 포함한다. 블록 450 및 블록 454는 동일한 챔버 또는 상이한 챔버들에서 수행될 수도 있다. 도 4b에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스의 블록 201의 일부로서 수행될 수도 있다. 일부 실시예들에서, 블록 454에서 반응성 화학물질은 후속 텅스텐 증착 동작에서 사용된 하나 이상의 화합물들이다. 일부 실시예들에서, 블록 450은 도 3a 내지 도 3c의 블록 352의 일부로서, 즉, 조절 전처리 후에 수행될 수도 있다. 블록 454는 소킹으로 지칭될 수도 있고, 일반적으로 비플라즈마 동작이다.
도 4c의 프로세스는 상기 기술된 바와 같이 피처를 포함하는 기판의 억제 처리로의 노출 (450) 후에 피처를 포함하는 기판을 산화 화학물질로 노출하는 것 (456) 을 수반한다. 산화 화학물질들의 예들은 O2, O3, CO2, 및 H2O를 포함한다. 블록 456은 블록 450과 같거나 상이한 온도에서 수행될 수도 있다. 다양한 실시예들에 따라, 블록 456은 플라즈마 활성화된 종 또는 UV 활성화된 종의 사용을 수반할 수도 있고, 또는 수반하지 않을 수도 있다. 예를 들어, 블록 456은 O2가 활성화되지 않도록 비플라즈마 조건들 하에서 O2에 기판을 노출시키는 것을 수반할 수도 있다. 블록 450 및 블록 456은 동일한 챔버 또는 상이한 챔버들에서 수행될 수도 있다. 도 4c에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스의 블록 201의 일부로서 수행될 수도 있다. 일부 실시예들에서, 블록 450은 도 3a 내지 도 3c의 블록 352의 일부로서, 즉, 조절 전처리 후에 수행될 수도 있다.
도 4d의 프로세스는 상기 기술된 바와 같이 피처를 포함하는 기판의 억제 처리로의 노출 (450) 후에 피처를 포함하는 기판을 스퍼터링 가스에 노출하는 것 (458) 을 수반한다. 스퍼터링 가스들의 예들은 Ar 및 H2를 포함한다. 블록 450 및 블록 458은 동일한 챔버 또는 상이한 챔버들에서 수행될 수도 있다. 도 4d에 도시된 프로세스는 도 2a 내지 도 2c에 도시된 바와 같은 프로세스의 블록 201의 일부로서 수행될 수도 있다. 일부 실시예들에서, 블록 450도 3a 내지 도 3c의 블록 352의 일부로서, 즉, 조절 전처리 후에 수행될 수도 있다.
도 4e의의 프로세스는 기판의 억제 처리로의 노출 (450) 후에 텅스텐을 증착하지 않고 하나 이상의 텅스텐 전구체/환원제 사이클들에 기판을 노출하는 것 (460) 을 수반한다. 텅스텐 전구체 환원제 사이클은 텅스텐 전구체 및 환원제의 펄스들을 교번하는 것을 수반한다. 이 시퀀스는 텅스텐 핵생성층을 증착하는 PNL 또는 ALD 메커니즘과 유사할 수도 있다. 그러나, 핵생성층 증착과 반대로, 블록 460 동안 텅스텐이 실질적으로 증착되지 않는다 (텅스텐이 없거나 텅스텐의 원자층보다 적음). 펄스 시간들, 텅스텐 전구체 농도들, 및/또는 하나 이상의 텅스텐 전구체 펄스들 동안 도즈량은 텅스텐이 실질적으로 증착되지 않았다는 것을 보장하도록 조정될 수도 있다. 예를 들어, 이들 파라미터들 중 하나 이상은 텅스텐 핵생성층 사이클 동안보다 보다 낮을 수도 있다. 또 다른 예에서, 환원제 펄스 시간은 텅스텐 전구체 펄스 시간보다 보다 클 수, 예를 들어, 1.5 내지 5 배 이상일 수도 있다.
본 명세서에 참조로서 인용된 미국 특허 제 8,058,170 호에 기술된 바와 같이, 텅스텐을 증착하지 않고, 환원제/텅스텐 전구체의 교번하는 사이클들에 증착된 텅스텐 핵생성층을 노출시키는 것은 텅스텐 핵생성층의 저항률을 하강시키는 저 저항률 처리로서 작용한다. 도 4g 및 도 4h에 대하여 이하에 더 논의되는 바와 같이, 블록 460은 억제 효과를 조절하는 것에 부가하여 증착된 텅스텐 막의 저항률을 하강시키고 응력을 개선할 수도 있다.
도 4f는 다음의 시퀀스: a) 텅스텐층의 증착, b) 질소계 플라즈마 억제 처리로의 노출, 및 c) 열적 어닐링으로의 노출 후에 수행된 텅스텐 증착의 성장 지연을 도시한다. 도 4f에 도시된 바와 같이, 어닐링은 효과를 완화시킴으로써 질소 플라즈마의 억제 효과를 조절한다.
이하의 표 2는 디보란 소킹 전의 억제와 소킹 없이 수행된 억제를 비교한다. 양 프로세스들에 대해, 텅스텐층이 증착되고, 이어서 질소 플라즈마에 노출되고, 이어서 소킹 동작이 이어지거나 소킹 동작이 수행되지 않는다.
억제 처리 후 디보란 소킹을 사용하는 억제 디보란 소킹을 사용하지 않는 억제
억제 후 B2H6 소킹 (초) W 증착 두께 (Å) W 성장 지연 (초)
0 564 1044
3 3187 170
표 2의 결과들은 억제 후 B2H6 소킹이 B2H6를 감소시킴으로써 억제 효과를 조절한다는 것을 나타낸다. 이는 반응 가스를 사용한 소킹이 핵생성 사이트들을 증가시키기 때문일 수도 있다.
억제 효과를 조절하는 프로세스의 또 다른 예에서, 상기 기술된 바와 같이 피처를 포함하는 기판을 억제 처리에 노출한 후 피처를 포함하는 기판은 H 함유 플라즈마에 노출될 수도 있다. H 함유 플라즈마들의 예들은 리모트 플라즈마 및 수소 (H2) 가스로부터 생성된 인시츄 플라즈마를 포함한다. H2 플라즈마로의 노출은 억제 효과를 감소시킨다.
다양한 상기 억제 후 처리들이 억제 효과를 감소시키도록 사용될 수도 있고 "탈억제 (de-inhibition)" 처리들로 지칭될 수 있다. 도 5a 내지 도 5c는 텅스텐으로 피처를 충진하기 위해 이러한 처리들을 사용하는 동작들을 도시하는 플로우 차트들의 예들이다.
먼저, 도 5a는 특정한 실시예들에 따른 피처를 충진하는 방법의 예를 도시하는 프로세스 흐름도이다. 프로세스는 기판 상의 텅스텐 증착을 억제하도록 기판을 처리함으로써 블록 501에서 시작된다. 억제 처리들의 예들은 상기에 주어지고, 질소계 플라즈마들로의 노출을 포함한다. 블록 501은 기판 상에 하나 이상의 피처들의 컨포멀하지 않은 억제를 수반할 수도 있다. 이어서 텅스텐 증착이 블록 503에서 억제된 기판 표면들 상에서 수행된다. 일부 실시예들에서, 블록 503은 컨포멀하지 않은 억제 프로파일에 따른 선택적인 증착을 수반한다. 기판은 억제 효과를 감소시키도록 처리된다 (블록 505). 상기 주지된 바와 같이, 이러한 처리는 탈억제 처리로 지칭될 수도 있다. 어닐링 및 환원제 소킹들을 포함하는 이러한 처리들의 예들은 상기 기술되었다. 이어서 텅스텐이 탈억제 기판 표면들 상에 증착된다 (블록 507).
일부 실시예들에서, 블록 503 후에 기판 상의 하나 이상의 피처들이 텅스텐으로 완전히 충진될 수도 있다. 블록 505에서의 탈억제 처리는 예를 들어, 블록 505에 의해 완전히 충진되지 않은 피처들 또는 기판의 필드 영역들 내의 텅스텐 상에서 수행될 수도 있다. 예를 들어, 블록 503은 부분적으로 충진되지 않은 보다 큰 피처들을 남기면서 보다 작은 피처들을 충진할 수도 있다. 탈억제 처리를 수행함으로써, 텅스텐 증착 레이트는 상당히 증가될 수도 있다. 이는 선택적인 증착이 목표되지 않은 표면들에 대해 유리할 수 있다.
도 5b에서, 텅스텐이 피처 내에 증착된다 (449). 블록 449는 텅스텐으로 피처를 부분적으로 충진하는 것을 수반한다. 일부 실시예들에서, 블록 449는 도 2b의 블록 301에 대해 상기 기술된 바와 같이 얇은 컨포멀한 막의 증착을 수반한다. 이어서 상기 기술된 바와 같이 기판이 억제 처리에 노출된다 (450). 기판을 억제 처리에 노출한 후에, 기판은 억제 효과를 감소시키는 탈억제 처리에 노출된다. 탈억제 처리들의 예들은 상기에 주어졌고 H 함유 플라즈마, 환원제 열적 소킹, 및 열적 어닐링을 포함한다. 이어서 상기 기술된 바와 같이 억제 프로파일에 따라 텅스텐의 선택적인 증착이 수행된다 (203).
도 5c에서, 블록 449 및 블록 450은 도 5b에 대하여 상기 기술된 바와 같이 수행된다. 블록 450 후에, 블록 450에서 획득된 억제 프로파일에 따라 선택적인 증착이 수행된다 (203). 선택적인 증착에 이어서 상기 기술된 바와 같이 기판을 탈억제 처리에 노출하는 것 (458) 이 이어진다. 블록 458에서 획득된 억제 프로파일에 따라 텅스텐의 또 다른 선택적인 증착이 수행된다 (203). 일부 실시예들에서, 블록 458은 블록 203에서의 증착이 피처의 특정한 영역에 대해 우세하지 않고 선택적이도록 억제 효과를 제거할 수도 있다.
도 5b에 도시된 프로세스는 기판 상의 충진될 모든 피처들에 걸쳐 억제 효과를 감소시키도록 사용될 수 있다. 도 5c에 도시된 프로세스는 부분적으로 충진된 피처들에 대한 억제 효과를 감소시키기 전에 일부 피처들 예를 들어, 좁거나 고 종횡비 그렇지 않으면 어려운 피처들의 완전한 충진을 허용한다.
도 4g는 프로세스 A를 사용하여 제어될 다양한 탈억제 프로세스들 (증착 - 억제 없음) 의 효과를 비교하는 그래프이다. 제 1 증착 (Dep1), 제 2 증착 (Dep2) 및 억제 처리 (프로세스 B 내지 프로세스 E) 에 대한 조건들은, 잠재적인 탈억제 처리들만 변동되고 동일하다. 프로세스 조건들은 이하의 표 3에 주어진다.
억제 프로세스들 및 탈억제 프로세스들
프로세스 Dep1 억제 탈억제 Dep2
A 300 ℃에서 H2 내 2 x (B/W) 없음 없음 300 ℃ + 395 ℃에서 H2 에서 2 x (B/W) CVD
B H2 300 ℃에서 내 2 x (B/W) N2 플라즈마, 바이어스 없음, 3 초, 2 mT, 2000 W LFRF 디보란 소킹, 60 초 (12 x 5s B2H6), 395 ℃ 300 ℃ + 395 ℃에서 H2 에서 2 x (B/W) CVD
C H2 300 ℃에서 내 2 x (B/W) N2 플라즈마, 바이어스 없음, 3 초, 2 mT, 2000 W LFRF 실란 소킹, 60 초 (12 x 5s SiH4), 395 ℃ 300 ℃ + 395 ℃에서 H2 에서 2 x (B/W) CVD
D H2 300 ℃에서 내 2 x (B/W) N2 플라즈마, 바이어스 없음, 3 초, 2 mT, 2000 W LFRF 2 x (2s B2H6/W + 1s WF6)) 300 ℃ + 395 ℃에서 H2 에서 2 x (B/W) CVD
E H2 300 ℃에서 내 2 x (B/W) N2 플라즈마, 바이어스 없음, 3 초, 2 mT, 2000 W LFRF 6 x (2s B2H6/W + 1s WF6)) 300 ℃ + 395 ℃에서 H2 에서 2 x (B/W) CVD
도 4g를 참조하면, 실란 소킹 (프로세스 C) 동안 탈억제는 나머지 프로세스들, 존재한다면 전체보다 보다 작다는 것을 알 수 있다. 프로세스 A, 프로세스 B (60 초 디보란 소킹) 및 프로세스 E (6 디보란/텅스텐 전구체 펄스 사이클들) 는 동일한 증착 두께들을 갖고, 이들 프로세스들은 억제 효과를 완전히 소거한다는 것을 나타낸다. 프로세스 D (2 디보란/텅스텐 전구체 펄스 사이클들) 는 상당한 탈억제를 발생시킨다.
도 4h는 표 3에서 상기 도시된 프로세스들 각각에 의해 증착된 텅스텐 막들에 대한 두께의 함수로서 저항률을 도시한다. 특히, 상당한 탈억제 및 텅스텐 증착을 발생시키는 프로세스 D는 저 저항률을 발생시킨다. 이하의 표 4는 프로세스 A, 프로세스 B, 및 프로세스 E에 의해 증착된 150 Å 막 및 600 Å 막에 대한 응력 (GPa) 을 도시한다.
다양한 프로세스들에 의해 증착된 막들의 응력
프로세스 응력 150 Å 막 응력 600 Å 막
A 2.48 2.24
B 3.47 2.17
E 2.48 2.06
표 4에 도시된 바와 같이, 프로세스 E는 가장 낮은 응력을 갖는 막을 발생시킨다.
상기 기술된 바와 같이, 본 개시의 양태들은 VNAND 워드라인 (WL) 충진에 사용될 수 있다. 이하의 논의가 다양한 방법들에 대한 골격을 제공하지만, 방법들은 이렇게 제한되지 않고, 로직 및 메모리 콘택트 충진, DRAM 매립 워드라인 충진, 수직으로 집적된 메모리 게이트/워드라인 충진, 및 3D 집적 (TSV) 을 포함하는 다른 애플리케이션들에서도 구현될 수 있다.
상기 기술된 도 1f는 충진될 VNAND 워드라인 (WL) 구조체의 예를 제공한다. 상기 논의된 바와 같이, 이들 구조체들의 피처 충진은 필라 배치에 의해 나타나는 협착부들을 포함하는 몇몇 과제들을 제공할 수 있다. 부가적으로, 고 피처 밀도는 반응물질들이 충진을 완료하기 전에 모두 사용되도록 부하 이펙트 (loading effect) 를 유발할 수 있다.
전체 워드라인을 통한 보이드 프리 충진을 위해 다양한 방법들이 이하에 기술된다. 특정한 실시예들에서, 저 저항률 텅스텐이 증착된다. 도 5d는 컨포멀하지 않은 선택적인 억제가 핀치 오프 전에 피처의 내부의 충진을 위해 사용되는 시퀀스를 도시한다. 도 5d에서, 구조체 (500) 는 라이너층 표면 (502) 을 구비한다. 라이너층 표면 (502) 은 예를 들어, TiN 또는 WN일 수도 있다. 다음에, W 핵생성층 (504) 은 라이너층 (502) 상에 컨포멀하게 증착된다. 상기 기술된 바와 같이 PNL 프로세스가 사용될 수 있다. 일부 실시예들에서, 컨포멀한 핵생성층을 생성하는 이 동작은 생략될 수도 있다. 다음에, 구조체는 구조체 (500) 의 부분들 (506) 을 선택적으로 억제하도록 억제 화학물질에 노출된다. 이 예에서, 필라 협착부들 (151) 을 통해 부분들 (506) 이 선택적으로 억제된다. 억제는 예를 들어, N2, H2, 형성 가스, NH3, O2, CH4, 등과 같은 가스로부터 생성된 직접 (인시츄) 플라즈마로의 노출을 수반할 수 있다. 피처를 억제 종에 노출하는 다른 방법들이 상기 기술되었다. 다음에, CVD 프로세스가 억제 프로파일에 따라 텅스텐을 선택적으로 증착하도록 수행되고: 벌크 텅스텐 (510) 은 협착부들 뒤의 충진이 어려운 영역들이 충진되도록, 핵생성층 (504) 의 억제되지 않은 부분들 상에 우선적으로 증착된다. 이어서 피처의 남은 부분이 벌크 텅스텐 (510) 으로 충진된다. 도 2a를 참조하여 상기 기술된 바와 같이, 텅스텐을 선택적으로 증착하도록 사용된 동일한 CVD 프로세스가 피처의 남은 부분에 사용될 수도 있고, 또는 상이한 화학물질 또는 프로세스 조건들을 사용하고 그리고/또는 핵생성층이 증착된 후에 수행되는 상이한 CVD 프로세스가 사용될 수도 있다.
일부 실시예들에서, 본 명세서에 기술된 방법들은 텅스텐 비아 충진을 위해 사용될 수도 있다. 도 6은 예를 들어, 금속 나이트라이드 또는 다른 배리어층일 수 있는 하부층 (113) 을 포함하는 피처 홀 (105) 의 예를 도시한다. 텅스텐층 (653) 은, 예를 들어, PNL 및/또는 CVD 방법에 의해 피처 홀 (105) 내에 컨포멀하게 증착된다. (도 6의 예에서 텅스텐층 (653) 이 피처 홀 (105) 내에 컨포멀하게 증착되지만, 하부층 (113) 상에서 텅스텐 핵생성은 텅스텐층 (653) 의 선택적인 증착 전에 선택적으로 억제될 수 있다는 것을 주의한다.) 이어서, 피처 개구 근방에 텅스텐층 (653) 의 억제된 부분 (655) 을 형성하여, 텅스텐층 (653) 상의 추가 증착이 선택적으로 억제된다. 이어서 텅스텐은 텅스텐이 피처의 하단부 및 중간-섹션 근방에 우선적으로 증착되도록 억제 프로파일에 따라 PNL 및/또는 CVD 방법에 의해 선택적으로 증착된다. 하나 이상의 선택적인 억제 사이클들을 사용하는 일부 실시예들에서, 증착은 피처가 충진될 때까지 계속된다. 상기 기술된 바와 같이, 일부 실시예들에서, 피처 상단부에서의 억제 효과는 충분히 긴 증착 시간에 의해 극복될 수 있지만, 일부 실시예들에서, 일단 피처 개구에서 증착이 목표되면, 피처 개구에서 패시베이션을 완화시키거나 제거하도록 부가적인 핵생성층 증착 또는 다른 처리가 수행될 수도 있다. 일부 실시예들에서, 피처 충진은 도 6에 도시된 심 (657) 과 같은 심의 형성을 여전히 포함할 수도 있다. 다른 실시예들에서, 피처 충진은 보이드 프리 충진일 수도 있고 심 프리 충진일 수도 있다. 심이 존재하더라도, 관례적으로 충진된 피처를 사용하여 획득된 것보다 보다 작을 수도 있어서 CMP 동안 코어링의 문제를 감소시킨다. 도 6의 예에서 도시된 시퀀스는 존재하는 상대적으로 작은 보이드를 사용한 CMP 후에 종료된다.
일부 실시예들에서, 본 명세서에 기술된 프로세스들은 협착부들 또는 가능한 핀치 오프 지점들을 갖지 않는 피처들에 대해서도 유리하게 사용될 수도 있다. 예를 들어, 프로세스들은 컨포멀한 피처의 충진보다는 보텀-업 충진에 사용될 수도 있다. 도 7은 피처 (700) 가 특정한 실시예들에 따른 방법에 의해 충진되는 시퀀스를 도시한다. 텅스텐 (753) 의 얇고 컨포멀한 층이 먼저 증착되고, 억제된 부분들 (755) 을 형성하도록 선택적인 억제가 이어지고, 피처의 하단부의 층 (753) 은 처리되지 않는다. CVD 증착은 피처의 하단부에 증착된 벌크 텅스텐 막 (757) 을 발생시킨다. 이어서 피처가 벌크 텅스텐 (757) 으로 충진될 때까지 선택적인 CVD 증착 및 선택적인 억제의 사이클들이 반복된다. 피처의 측벽들 상에서의 핵생성은 피처의 하단부 근방을 제외하고 억제되기 때문에, 충진은 보텀-업 충진이다. 일부 실시예들에서, 피처의 하단부가 피처 개구에 보다 가깝게 성장하기 때문에, 상이한 파라미터들은 억제 프로파일을 적절하게 튜닝하도록 연속적인 억제들에 사용될 수도 있다. 예를 들어, 바이어스 전력 및/또는 처리 시간은 연속적인 억제 처리들에서 감소될 수도 있다.
다양한 실시예들에 따라, 본 명세서에 기술된 억제 처리들은 단일 스테이지 처리 또는 멀티-스테이지 처리일 수도 있다. 멀티-스테이지 처리는 하나의 보다 긴 스테이지보다 몇몇의 짧은 스테이지들을 사용할 수도 있다. 스테이지는 스테이즈들 간의 인터벌들과 함께, 소스 전력, 바이어스 전력, 처리 가스 플로우 레이트 또는 챔버 압력 중 하나 이상에 의해 규정될 수도 있다. 스테이지 각각은 동일하거나 상이한 소스 전력, 바이어스 전력, 처리 가스 플로우 레이트, 챔버 압력, 및 스테이지 지속기간을 가질 수도 있다. 또한, 연속적인 인터벌들의 지속기간은 동일하거나 상이할 수도 있다. 도 8은 멀티-스테이지 억제 처리를 위한 소스 전력 및 바이어스 전력의 예를 도시한다. 도 8의 예에서, 스테이지들 801, 803, 및 805는 퍼지에 의해 분리된다. 플라즈마 소스 전력 및 기판 바이어스 전력은 스테이지 각각 동안 온되고 스테이지들 사이의 인터벌들 동안 오프된다. 이하에 더 논의되는 바와 같이, 도 8에 도시된 바와 같이 억제 처리 동안 플라즈마 및/또는 바이어스를 턴오프하는 것은 스퍼터링 및 탈억제를 감소시킬 수 있다.
도 8은 멀티-스테이지 처리의 일 예를 도시한다. 스테이지들 801, 803 및 805는 처리가 일어나지 않는 인터벌들에 의해 분리된다. 인터벌 동안, 소스 전력, 바이어스 전력, 및 처리 가스 플로우 중 하나 이상이 정지될 수도 있다. 도 8의 예에서, 소스 전력 및 바이어스 전력은 펄싱 온되고 펄싱 오프되며, 처리 동안 온되고 인터벌 동안 오프된다. 소스 전력, 바이어스 전력, 처리 시간, 압력, 및 가스 플로우는 스테이지 각각에 대해 동일할 수도 있고 또는 변동될 수도 있다. 인터벌은 일부 실시예들에서 퍼지를 포함할 수도 있다. 전력 또는 가스 플로우의 펄스들을 온/오프하는 것에 부가하여 또는 대신, 전력 또는 가스 플로우는 인터벌 동안 감소될 수도 있고 스테이지 동안 증가될 수도 있다. 일부 실시예들에서, 인터벌 동안 처리가 일어나지 않는다.
멀티-스테이지 처리는 단일 스테이지 처리와 비교하여 스퍼터링 및 탈억제를 감소시킬 수도 있다. 일부 실시예들에서, 스테이지는, (상기 기술된 바와 같이) 플라즈마 종이 구조체의 부분들을 선택적으로 억제하고 처리 종이 스터퍼링하기 충분한 에너지를 발전시키기 전에 스테이지가 종료되도록 타이밍된다. 3-D 구조체들에 대해, 스테이지 각각은 종이 측방향보다는 수직으로 진행하도록 타이밍될 수도 있다. 멀티-스테이지 처리들은 리모트, 라디칼계 플라즈마들뿐만 아니라 인시츄 이온 함유 플라즈마들을 사용하는 처리들과 함께 사용될 수도 있다. 일부 실시예들에서, 라디칼 및 다른 종이 인터벌 동안 퍼지될 수도 있다. 상기 기술된 바와 같이 탈억제 처리는 멀티-스테이지 억제 처리 전, 동안, 또는 후에 수행될 수도 있다. 일부 실시예들에서, 멀티-스테이지 억제 처리는, 멀티-스테이지 억제 처리 동안 탈억제 처리들이 수행되지 않고, 그리고 선행하는 스테이지 또는 선행하는 인터벌 후에 바로 수행되는 두번째 또는 연속하는 스테이지와 함께 수행된다. 인터벌 동안, 프로세스 조건들은 소스 전력 및 가스 플로우 레이트 중 하나 이상이 턴오프되거나 감소되는 것을 제외하고 스테이지 동안 동일하게 유지될 수도 있다.
멀티-스테이지 처리의 스테이지 각각은 억제 프로파일을 튜닝하기 위해 상이한 소스 전력, 바이어스 전력, 시간, 플로우, 및 압력을 사용하여 엔지니어링될 수도 있다. 일 예에서, 바이어스 전력은 다음과 같을 수도 있다:
스테이지 1: 0 W (Watts) 5 초 (5 초)
스테이지 2: 100 W 5 초
스테이지 3: 100 W 5 초
일부 실시예들에서, 멀티-스테이지 처리는 스테이즈들 간에 인터벌 없이 순차적으로 수행된 복수의 스테이지들을 포함할 수도 있다. 상기 열거된 하나 이상의 파라미터들은 스테이지마다 조절될 수도 있다. 일부 실시예들에서, 스테이지는 억제 프로파일을 제어하도록 상이한 가스들을 사용하여 가열하거나 냉각하는 단계를 포함할 수도 있다.
도 9는 901, 902 및 903에서 3-D 구조체들의 처리들의 개략적인 예시를 도시한다. 901에서, 바이어스 없이 단일 스테이지를 겪는 3-D 구조체가 도시된다. (화살표들로 나타낸) 처리 종 흐름 경로들은 피처들 내로 측방향으로 연장하고 (910 참조), 이는 피처들 내부의 충진을 감소시킬 수 있다. 902에서, 바이어스를 사용하는 단일 스테이지 처리를 겪는 3-D 구조체가 도시된다. 스퍼터링 및 탈억제가 912에서 일어날 수도 있다. 903에서, 도 8에 도시된 바와 같은 멀티-스테이지 처리를 겪는 3-D 구조체가 도시된다. 처리는 주로 스퍼터링 없이 수직 방향이다.
단일-스테이지 처리 또는 멀티-스테이지 처리들에서, 펄싱된 플라즈마가 사용될 수도 있다. 소스 플라즈마 전력 및 바이어스 전력 중 하나 또는 양자가 펄싱될 수도 있다. 양자가 펄싱된다면, 이들은 동일한 주파수 및 듀티 사이클을 가질 수도 있거나 갖지 않을 수도 있다. 동일한 또는 다른 실시예들에서, 처리 가스가 펄싱될 수도 있다. 펄싱된 플라즈마 (즉, 스테이지 각각에서 플라즈마가 펄싱됨) 를 사용하는 멀티-스테이지 처리에서, 스테이지 각각에서, 압력, 플로우, 소스 전력 및 바이어스 전력, 시간, 주파수 및 듀티 사이클들은 스테이지마다 동일하거나 가변할 수도 있다. 또한, 펄싱된 플라즈마는 상기 기술된 바와 같이 억제 조절 처리들에서 구현될 수도 있다.
다양한 실시예들에 따라, 에칭이 억제 처리 및 선택적인 증착과 함께 수행될 수도 있다. 예를 들어, 프로세스 시퀀스는 증착(dep1)-에칭-억제-증착(dep2) 을 포함할 수도 있다. 처음 텅스텐 증착 후에, 피처 내의 텅스텐은 억제를 용이하게 하는 프로파일/두께를 형성하도록 에칭될 수도 있다. 예를 들어, 상기 기술된 바와 같이, 보다 얇은 텅스텐 막들은 보다 많은 억제를 발생시킨다. 따라서, dep1-에칭-억제-dep2 시퀀스는 추가 충진 개선 및 전체 막 두께 관리를 지원하도록 사용될 수도 있다. 피처 내에서 선택된 위치들 (예를 들어, 3D 구조체 내 필드 영역 또는 측벽) 은 이들 영역들에서 억제를 증가시키도록 우선적으로 에칭될 수도 있다. 동일하거나 다른 실시예들에서, 에칭은 균일성, 웨이퍼 보우, 및 막 두께 관리를 개선하기 위해 증착 후에 수행될 수도 있다. 일 예에서, 프로세스 시퀀스는 dep1-억제-dep2-에칭 또는 dep1-에칭-억제-dep2-에칭을 포함할 수도 있다. 에칭은 억제 챔버 내에서 또는 또 다른 챔버 내에서 수행될 수도 있다. 수행될 수도 있는 에칭 프로세스들의 예들은 본 명세서에 참조로서 인용된, 미국 특허 제 8,119,527 호; 제 8,835,317 호; 및 제 9,034,768 호에 기술된다.
일부 실시예들에서, 본 명세서에 기술된 억제 처리들은 저 응력 막들을 사용하여 피처 충진을 개선하도록 사용될 수도 있다. 통상적인 저 응력 막들은 충진 열화를 겪는다. 본 명세서에 기술된 억제 처리들은 이러한 경우들에서 충진을 개선하도록 사용될 수도 있다. 응력 및 다른 디펙트 유발 특성들이 적절히 관리되는 한 작은 보이드가 이러한 경우들에 허용될 수도 있다.
일부 실시예들에서, 불소로 하여금 확산되게 하도록 텅스텐 증착 후에 고온 어닐링이 수행될 수도 있다. 예를 들어, WL 구조체에서, 고온 어닐링은 WL의 입구가 핀치 오프되기 전에 수행될 수도 있다. 일부 실시예들에서 억제는 어닐링 후에 수행될 수도 있다.
다양한 실시예들에 따라, 억제에 후속하는 증착 ("dep2") 은 균일성을 개선하도록 튜닝될 수도 있다. 일부 실시예들에서, 비반응성 (예를 들어, Ar) 후면 플로우가 이러한 증착 동안 부가될 수도 있다. 어떠한 특정 이론에도 매이지 않고, 후면 가스는 기판의 에지에서 증착을 감소시킴으로써 균일성을 개선할 수 있다고 여겨진다. 일부 실시예들에서, dep2 단계에서 기판 중심 및 에지 상에서의 텅스텐 전구체 플로우 및 압력 조절은 억제 스택 막 균일성을 개선하는 것을 돕는다. 텅스텐 성장 지연 시간은 텅스텐 전구체 플로우 및 압력에 민감하다. 일부 실시예들에서, 균일성은 에지 링을 세정하거나 에지 링 상에서 텅스텐의 사전코팅을 선행시킴으로써 개선될 수도 있다. HF 또는 텅스텐 증착의 다른 생성물이 웨이퍼 에지에서 탈억제를 발생시킬 수도 있다고 여겨진다. 사전코팅을 선행시키거나 에지 링을 세정하는 것은 에지 링 상에서 텅스텐 CVD를 회피하고 텅스텐 CVD의 영향을 감소시킬 수도 있다.
실험
도 1f의 개략적인 도시와 유사한 3D VNAND 피처들은, 최초 텅스텐 씨드층의 증착 후에 N2H2 가스로부터 생성된 플라즈마들에 노출된다. 기판은 DC 바이어스로 바이어싱되고, 바이어스 전력은 100 W 내지 700 W로 변동되고 노출 시간은 20 초 내지 200 초로 변동된다. 보다 긴 시간은 보다 깊고 보다 넓은 억제를 발생시키고, 보다 높은 바이어스 전력은 보다 깊은 억제를 발생시킨다.
표 5는 처리 시간의 영향을 도시한다. 모든 억제 처리들은 기판에 대하여 100 W의 DC 바이어스와 함께, 직접 LFRF 2000 W N2H2 플라즈마로의 노출을 사용하였다.
억제 프로파일에 대한 처리 시간의 영향
최초 텅스텐층 억제 처리 시간 후속 증착 선택적인 증착
A 핵생성 + 300 ℃에서 30 초 CVD 300 ℃에서 400 초 CVD 비선택적인 증착
B A와 동일 60 초 A와 동일 비선택적인 증착
C A와 동일 90 초 A와 동일 예 - 피처의 하단부로부터 수직의 중간지점보다 약간 낮은 곳까지만 증착. 피처의 하단부에서 측방향 (보다 넓은) 증착.
D A와 동일 140 초 A와 동일 증착 없음
처리 시간을 변동시키는 것은 표 5에 기술된 바와 같이 (스플릿 C) 억제 프로파일의 수직 및 측방향 튜닝을 발생시키지만, 바이어스 전력을 변동시키는 것은 측방향 변동의 부수적인 효과와 함께 억제 프로파일의 수직 튜닝과 보다 더 상관된다.
상기 기술된 바와 같이, 억제 효과는 보다 긴 CVD 시간 및/또는 보다 높은 온도들, 보다 활동적인 (aggressive) 화학물질, 등을 포함하는 특정한 CVD 조건들에 의해 극복될 수도 있다. 이하의 표 6은 선택적인 증착에 대한 CVD 시간의 영향을 도시한다.
선택적인 증착에 대한 CVD 시간의 영향
최초 텅스텐층 억제 처리 후속 CVD 증착 시간 (300 ℃) 선택적인 증착
E 핵생성 + 300 ℃에서 30 초 CVD H2N2 2000W RF 직접 플라즈마, 90 초, 100 W DC 바이어스 0 증착 없음
F E와 동일 E와 동일 200 초 예 - 하단부로부터 피처 높이의 약 1/6로 연장하는 소량의 증착
G E와 동일 E와 동일 400 초 예 - 피처의 하단부로부터 수직의 중간지점보다 약간 낮은 곳까지만 증착. 피처의 하단부에서 측방향 보다 넓은 증착.
H E와 동일 E와 동일 700 초 예 - 피처의 하단부에서 측방향 보다 넓은 증착과 함께, 피처의 전체 높이를 관통하는 증착
단일-스테이지 억제 처리들 및 멀티-스테이지 억제 처리들은 무바이어스 억제 프로세스들 및 200 W 바이어스 억제 프로세스들에 대해 수행된다. 이하의 프로세스 조건들이 사용된다:
증착 1: 100 ℃에서 100 Å
처리: 20 sccm N2, 100 sccm Ar, 500 W LF RF 인시츄 플라즈마
증착 2: 350 ℃에서 300 초
도 10a는 무바이어스 억제 비교 결과들을 도시한다. 총 억제 처리 시간들은 6 초 및 14 초였다. 멀티-스테이지 비교를 위해, 6 초 처리는 3 번의 2 초 처리들로 수행되고, 14 초 처리는 7 번의 2 초 처리들로 수행되었다. 멀티-스테이지 처리는 단일 스테이지보다 보다 많은 지연 (보다 큰 억제) 을 발생시켰다. 이는 스퍼터링 탈억제 효과가 감소되기 때문일 수도 있다. 도 10b는 바이어싱된 비교 결과들을 도시한다. 총 억제 처리 시간들은 6 초, 10 초 및 14 초이고, 멀티-스테이지 처리들은 2 초 스테이지들로 수행되었다. 무바이어스 결과들과 비교하면, 멀티-스테이지 바이어싱된 억제 처리는 단일 스테이지보다 보다 큰 효과를 보인다.
도 11a는 균일성에 대한 전면 측 가스 튜닝 및 후면 측 가스 튜닝 결과들을 도시한다.
프로세스 A: 전면 측 19000 sccm H2/ 후면 측: 13000 sccm H2, Ar 없음, 26.8 % NU
프로세스 B: 전면 측: 25000 sccm H2/ 후면 측: 4000 sccm H2, 2000 sccm Ar, 2.4 % NU
장치
임의의 적합한 챔버가 이 신규한 방법을 구현하도록 사용될 수도 있다. 증착 장치들의 예들은 다양한 시스템들, 예를 들어, California, San Jose의 Novellus Systems, Inc.로부터 입수가능한 ALTUS 및 ALTUS Max, 또는 임의의 다양한 다른 상업적으로 입수가능한 프로세싱 시스템들을 포함한다.
도 11b는 특정한 실시예들에 따른 부분적으로 제조된 반도체 기판을 프로세싱하기 위한 장치 (1100) 의 개략적인 대표도를 예시한다. 장치 (1100) 는 페데스탈 (1120), 샤워헤드 (1114) 를 갖는 챔버 (1118), 및 인시츄 플라즈마 생성기 (1116) 를 포함한다. 장치 (1100) 는 또한 다양한 디바이스들로 제어 신호들을 공급하거나/하고 입력을 수신하기 위한 시스템 제어기 (1122) 를 포함한다.
특정한 실시예들에서, 억제 가스 및 존재한다면, 불활성 가스 예컨대 아르곤, 헬륨 기타 등이 저장 탱크일 수도 있는 소스 (1102) 로부터 리모트 플라즈마 생성기 (1106) 로 공급될 수 있다. 임의의 적합한 리모트 플라즈마 생성기는 에천트가 챔버 (1118) 내로 도입되기 이전에 에천트를 활성화하기 위해서 사용될 수도 있다. 예를 들어, 모두가 Massachusetts, Andover의 MKS Instruments로부터 입수가능한, ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645와 같은 RPC (리모트 플라즈마 세정) 유닛들이 사용될 수도 있다. RPC 유닛은 통상적으로 공급된 에천트를 사용하여서 약하게 이온화된 플라즈마를 생성하는 자기-수용형 디바이스 (self-contained device) 이다. RPC 유닛 내에 임베딩된 고 전력 무선 주파수 RF 생성기가 플라즈마 내의 전자들에 에너지를 제공한다. 이어서 이 에너지는 중성 억제 가스 분자들로 전달되어서 대략 2000 K의 온도가 되어 이들 분자들의 열적 해리를 유발시킨다. RPC 유닛은 그의 고 RF 에너지 및 가스로 하여금 이러한 에너지 대부분을 흡수하게 하는 특정 채널 기하구조로 인해서 60 % 보다 많은 들어오는 분자들을 해리시킬 수도 있다.
특정한 실시예들에서, 억제 가스는 리모트 플라즈마 생성기 (1106) 로부터 연결 라인 (1108) 을 통해서 챔버 (1118) 내로 흐르고, 이 챔버에서 샤워헤드 (1114) 를 통해서 혼합물이 분배된다. 다른 실시예들에서, 억제 가스는 리모트 플라즈마 생성기 (1106) 를 완전히 바이스패스하여 바로 챔버 (1118) 내로 흐른다 (예를 들어, 장치 (1100) 는 이러한 생성기를 포함하지 않는다). 대안적으로, 예를 들어 억제 가스 활성화가 필요하지 않거나 인시츄 플라즈마 생성기에 의해 공급될 것이기 때문에 억제 가스가 챔버 (1118) 내로 흐르는 동안 리모트 플라즈마 생성기 (1106) 가 턴 오프될 수도 있다. 불활성 가스들 (1112) 은 일부 실시예들에서 믹싱 보울 (1110) 에서 혼합될 수도 있다.
샤워헤드 (1114) 또는 페데스탈 (1120) 은 통상적으로 그에 부착된 내부 플라즈마 생성기 (1116) 를 가질 수 있다. 일 예에서, 생성기 (1116) 는 약 1 ㎒ 내지 100 ㎒ 주파수로 약 0 W 내지 10,000 W를 제공할 수 있는 고 주파수 (HF) 생성기일 수도 있다. 또 다른 예에서, 생성기 (1116) 는 약 100 ㎑만큼 낮은 주파수들로 약 0 W 내지 10,000 W를 제공할 수 있는 저 주파수 (LF) 생성기이다. 보다 구체적인 실시예에서, HF 생성기는 약 13.56 ㎒로 약 0 W 내지 5,000 W를 전달할 수도 있다. RF 생성기 (1116) 는 억제 종을 활성화하도록 인시츄 플라즈마를 생성할 수도 있다. 특정 실시예들에서, RF 생성기 (1116) 는 리모트 플라즈마 생성기 (1106) 과 함께 사용될 수 있고 또는 사용되지 않을 수 있다. 특정한 실시예들에서, 증착 동안 플라즈마 생성기가 사용되지 않는다.
챔버 (1118) 는 증착 정도, 농도, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 센싱하기 위한 센서 (1124) 를 포함할 수도 있다. 센서 (1124) 는 프로세스 동안의 챔버 상태들에 대한 정보를 시스템 제어기 (1122) 에 제공할 수도 있다. 센서 (1124) 의 예들은 MFC (mass flow controller), 압력 센서들, 써모커플들, 등을 포함한다. 센서 (1124) 는 또한 챔버 내에서의 가스들의 존재를 모니터링하고 측정들을 제어하기 위해서 적외선 검출기 또는 광학적 검출기를 포함할 수도 있다.
증착 및 선택적 억제 동작들은 챔버 (1118) 로부터 배기되는 다양한 휘발성 종을 생성할 수 있다. 또한, 프로세싱은 챔버 (1118) 내에서 특정한 사전 결정된 압력 레벨들에서 수행된다. 이들 기능들 모두는 진공 펌프일 수도 있는 진공 유출부 (1126) 를 사용하여서 달성된다.
특정한 실시예들에서, 시스템 제어기 (1122) 는 프로세스 파라미터들을 제어하도록 채용된다. 시스템 제어기 (1122) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함한다. 이 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 등을 포함할 수도 있다. 통상적으로, 시스템 제어기 (1122) 와 연관된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
특정한 실시예들에서, 시스템 제어기 (1122) 는 기판 온도, 억제 가스 플로우 레이트, 리모트 플라즈마 생성기 (1106) 및/또는 인시츄 플라즈마 생성기 (1116) 의 전력 출력, 챔버 (1118) 내부 압력 및 다른 프로세스 파라미터들을 제어한다. 시스템 제어기 (1122) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시예들에서 채용될 수도 있다.
프로세스 시퀀스에서의 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, Pascal, Fortran, 등과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다. 시스템 소프트웨어는 많은 상이한 방식들로 설계 또는 구성될 수도 있다. 예를 들어, 기술된 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 프로세스 가스 제어 코드, 압력 제어 코드 및 플라즈마 제어 코드를 포함한다.
제어기 파라미터들은 예를 들어, 동작 각각의 타이밍, 챔버 내부 압력, 기판 온도, 억제 가스 플로우 레이트들, 등과 같은 프로세스 조건들과 관련된다. 이들 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (1122) 의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치 (1100) 의 아날로그 및 디지털 출력 접속부들 상에 출력된다. 시스템 제어기 (1122) 와 같은 시스템 제어기의 추가 기술은 이하에 제공된다.
멀티-스테이션 장치
도 12a는 멀티-스테이션 장치 (1200) 의 예를 도시한다. 장치 (1200) 는 프로세스 챔버 (1201) 및 프로세싱될 기판들 및 프로세싱이 완료된 기판들을 홀딩하기 위한 하나 이상의 카세트들 (1203) (예를 들어, FOUP (Front Opening Unified Pods)) 을 포함한다. 챔버 (1201) 는 다수의 스테이션들, 예를 들어, 2 개의 스테이션들, 3 개의 스테이션들, 4 개의 스테이션들, 5 개의 스테이션들, 6 개의 스테이션들, 7 개의 스테이션들, 8 개의 스테이션들, 10 개의 스테이션들 또는 임의의 개수의 스테이션들을 가질 수도 있다. 스테이션들의 개수는 일반적으로 프로세싱 동작들의 복잡성 및 공유된 환경에서 수행될 수 있는 이들 동작들의 수에 의해서 결정된다. 도 12a는 1211 내지 1216으로 라벨링된 6 개의 스테이션들을 포함하는 프로세스 챔버 (1201) 를 예시한다. 단일 프로세스 챔버 (1201) 를 갖는 멀티-스테이션 장치들 (1200) 내의 모든 스테이션들은 동일한 압력 분위기에 노출된다. 그러나, 스테이션 각각은 전용 반응물 분배 시스템 및 도 11b에 예시된 것들과 같은, 전용 플라즈마 생성기 및 페데스탈에 의해서 달성되는 국부적 플라즈마 및 가열 조건들을 가질 수도 있다.
프로세싱될 기판은 카세트들 (1203) 중 하나로부터 로드록 (1205) 을 통해서 스테이션 (1211) 내로 로딩된다. 외측 로봇 (1207) 이 기판을 카세트 (1203) 로부터 로드록 (1205) 내로 이송하는데 사용될 수도 있다. 도시된 실시예에서, 2 개의 개별 로드록들 (1205) 이 존재한다. 이들은 통상적으로 기판들을 로드록 (1205) 으로부터 (일단 압력이 프로세스 챔버 (1201) 의 내부 분위기에 대응하는 압력과 평형 상태가 되면) 스테이션 (1211) 으로 그리고 프로세싱 챔버 (1201) 로부터의 제거를 위해 스테이션 (1216) 으로부터 로드록 (1205) 으로 다시 이동시키는 기판 이송 디바이스들을 구비한다. 기판들을 프로세싱 스테이션들 (1211 내지 1216) 을 사이에서 이송하고 이하에서 기술되는 프로세스 동안에 기판들 중 일부를 지지하도록 메커니즘 (1209) 이 사용된다.
특정한 실시예들에서, 하나 이상의 스테이션들이 기판을 가열하기 위해서 예비될 수도 있다. 이러한 스테이션들은 기판 위에 위치된 가열 램프 (미도시) 및/또는 도 11b에 예시된 것과 유사한 기판을 지지하는 가열 페데스탈을 가질 수도 있다. 예를 들어, 스테이션 (1211) 은 로드록으로부터의 기판을 수용할 수도 있고 기판이 추가 프로세싱되기 전에 기판을 예열하도록 사용될 수도 있다. 다른 스테이션들이 증착 및 선택적인 억제 동작들을 포함하는 고 종횡비 피처들을 충진하는데 사용될 수도 있다.
기판이 스테이션 (1211) 에서 가열되거나 이와 달리 프로세싱된 후에, 기판이 순차적으로 배열될 수도 있고 또는 순차적으로 배열되지 않을 수도 있는 프로세싱 스테이션들 (1212, 1213, 1214, 1215 및 1216) 로 연속하여 이동된다. 멀티-스테이션 장치 (1200) 는 모든 스테이션들이 동일한 압력 분위기에 노출되도록 구성될 수 있다. 그렇게 함으로써, 기판들이 로드록들과 같은 이송 포트들을 필요로 하지 않고 스테이션 (1211) 으로부터 챔버 (1201) 내의 다른 스테이션으로 이송된다.
특정한 실시예들에서, 텅스텐 함유 재료들로 피처들을 충진하는데 하나 이상의 스테이션들이 사용될 수도 있다. 예를 들어, 스테이션들 (1212) 이 초기 증착 동작을 위해서 사용될 수도 있고, 스테이션 (1213) 이 대응하는 선택적 억제 동작을 위해서 사용될 수도 있다. 증착-억제 사이클이 반복되는 실시예들에서, 스테이션들 (1214) 이 또 다른 증착 동작들을 위해서 사용될 수도 있고, 스테이션 (1215) 이 또 다른 억제 동작을 위해서 사용될 수도 있다. 스테이션 (1216) 은 최종 충진 동작을 위해서 사용될 수도 있다. 특정 프로세스들 (가열, 충진 및 제거) 을 위해 임의의 구성들의 스테이션 지정들이 사용될 수도 있다는 것이 이해되어야 한다. 일부 구현예들에서, 임의의 스테이션들은 PNL (또는 ALD) 증착, 선택적인 억제, 및 CVD 증착 중 하나 이상을 위해 지정될 수 있다.
상기 기술된 멀티-스테이션 장치에 대한 대안으로서, 방법은 배치 모드 (즉, 비순차적 모드) 의 단일 프로세싱 스테이션에서 기판(들)을 프로세싱하는 단일 기판 챔버 또는 멀티-스테이션 챔버로 구현될 수도 있다. 본 발명의 이러한 양태에서, 기판은 챔버 내로 로딩되어서 (장치가 하나의 프로세싱 스테이션만을 갖는 장치든지 배치 모드로 실행되는 멀티-스테이션들을 갖는 장치이든지 상관없이) 단일 프로세싱 스테이션의 페데스탈 상에 위치된다. 이어서, 기판이 가열되고 증착 동작이 수행될 수도 있다. 이어서 챔버 내의 프로세스 조건들이 조정될 수도 있고 이어서 증착된 층의 선택적 억제가 수행된다. 프로세스는 하나 이상의 증착-억제 사이클들로 계속될 수도 있고 최종 충진 동작이 수행되며 이러한 모든 동작은 동일한 스테이션 상에서 수행된다. 대안적으로, 복수의 기판들에 대하여 먼저 신규한 방법의 동작 (예를 들어, 증착, 선택적 억제, 최종 충진) 중 하나만을 수행하도록 단일 스테이션 장치가 사용될 수도 있고 이후에 나머지 동작들 중 하나 이상을 수행하기 위해서 기판들이 동일한 스테이션으로 다시 돌아가거나 상이한 스테이션 (예를 들어, 상이한 장치의 스테이션) 으로 이동될 수도 있다.
멀티- 챔버 장치
도 12b는 특정 실시예들에 따라서 사용될 수도 있는 멀티-챔버 장치 (1220) 의 개략도이다. 도시된 바와 같이, 장치 (1220) 는 3 개의 개별 챔버들 (1221, 1223, 및 1225) 을 갖는다. 이러한 챔버들 각각은 2 개의 페데스탈을 갖는 것으로 예시된다. 장치는 임의의 개수의 챔버들 (예를 들어, 1 개, 2 개, 3 개, 4 개, 5 개, 6 개 등) 을 가질 수도 있고, 챔버 각각은 임의의 개수의 페데스탈들 (예를 들어, 1 개, 2 개, 3 개, 4 개, 5 개, 6 개 등) 을 가질 수도 있다는 것이 이해되어야 한다. 챔버들 (1221, 1223, 및 1225) 각각은 챔버들 간에서 공유되지 않은 고유한 압력 분위기를 갖는다. 챔버 각각은 하나 이상의 대응하는 전달 포트들 (예를 들어, 로드록들) 을 가질 수도 있다. 장치는 또한 이송 포트들과 하나 이상의 카세트들 (1229) 간에서 기판들을 이송하기 위한 공유형 기판 핸들링 로봇 (1227) 을 가질 수도 있다.
상기 주지된 바와 같이, 개별 챔버들은 텅스텐 함유 재료들을 증착하고 이후 동작들에서 이들 증착된 재료들을 선택적으로 억제하기 위해서 사용될 수도 있다. 이러한 2 개의 동작들을 상이한 챔버들로 분할하면 챔버 각각에서 동일한 분위기 조건들을 유지함으로써 프로세싱 속도를 실질적으로 개선하는데 도움이 될 수 있다. 챔버는 자신의 분위기를 증착을 위해 사용된 조건들에서 선택적 억제를 위해 사용된 조건으로 또는 이 역으로 변화시킬 필요가 없는데, 이러한 조건들은 상이한 화학물질들, 상이한 온도들, 압력들 및 다른 프로세스 파라미터들을 수반할 수도 있다. 특정 실시예들에서, 이러한 2 개 이상의 상이한 챔버들의 분위기 조건들을 변경하는 것보다 이러한 챔버들 사이에서 부분적으로 제작된 반도체 기판들을 이송하는 것이 더 신속하다. 여전히 또한, 하나 이상의 챔버들이 에칭을 위해 사용될 수도 있다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 전력, 강도, 및 노출 시간들을 포함하는 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다. 통합된 툴에서, 제어기는 또한 프로세싱 가스들, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들과 같은 프로세스들을 제어할 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 본 발명의 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 처리 화합물 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 RF 제어 코드를 포함한다. 일 실시예에서, 제어기는 상기 기술된 방법들에 따라 개시된 실시예들의 프로세스들을 수행하기 위한 인스트럭션들을 포함한다. 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 통상적인 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일된 오브젝트 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하기 위해 프로세스에 의해 실행된다.
프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 제어기와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
패터닝 방법/장치:
본 명세서에 상기 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.

Claims (53)

  1. 하나 이상의 피처 개구들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 및
    연속적인 스테이지들이 복수의 인터벌들 중 하나에 의해 분리되는, 복수의 스테이지들 및 복수의 인터벌들에서 처리 가스로부터 생성된 플라즈마에 상기 피처를 노출시키는 것을 포함하는 멀티-스테이지 억제 처리를 수행하는 단계로서, 플라즈마 소스 전력, 기판 바이어스, 또는 처리 가스 플로우 레이트 중 하나 이상이 인터벌 각각의 시작에서 감소되고 상기 인터벌의 끝에서 증가하고, 그리고 상기 멀티-스테이지 억제 처리는 상기 피처 개구들에서 금속의 핵생성을 억제하는, 상기 멀티-스테이지 억제 처리를 수행하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 멀티-스테이지 억제 처리는, 상기 기판에 바이어스를 인가하는 동안 상기 피처를 직접 플라즈마에 노출시키는 것을 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 플라즈마는 질소, 수소, 산소, 및 탄소 활성화된 종 중 하나 이상을 포함하는, 방법.
  4. 제 1 항에 있어서,
    상기 플라즈마는 질소계 또는 수소계 플라즈마인, 방법.
  5. 제 1 항에 있어서,
    상기 피처의 상기 멀티-스테이지 억제 처리는 리모트-생성 플라즈마에 상기 피처를 노출시키는 것을 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 멀티-스테이지 억제 처리 전에 상기 피처 내에 텅스텐층을 증착하는 단계를 더 포함하는, 방법.
  7. 제 1 항에 있어서,
    상기 멀티-스테이지 억제 처리 후에, 상기 멀티-스테이지 억제 처리에 의해 형성된 억제 프로파일에 따라 상기 피처 내에 텅스텐을 선택적으로 증착하는 단계를 더 포함하는, 방법.
  8. 제 1 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처의 금속 나이트라이드 표면을 처리하는 것을 포함하는, 방법.
  9. 제 1 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처 내의 재료를 에칭하지 않고 수행되는, 방법.
  10. 제 1 항에 있어서,
    상기 피처는 상기 피처 내의 재료를 에칭하지 않고 충진되는, 방법.
  11. 제 1 항에 있어서,
    상기 피처는 3-D 구조체의 일부인, 방법.
  12. 제 7 항에 있어서,
    상기 피처를 충진하기 위해 상기 멀티-스테이지 억제 처리들 및 선택적인 증착의 사이클을 1회 이상 반복하는 단계를 더 포함하는, 방법.
  13. 제 1 항에 있어서,
    적어도 협착부가 상기 피처 내에서 우선적으로 억제되는, 방법.
  14. 제 1 항에 있어서,
    상기 멀티-스테이지 억제 처리의 제 1 스테이지 동안 플라즈마 소스 전력, 기판 바이어스 전력, 처리 가스 플로우, 및 챔버 압력 중 하나는 상기 멀티-스테이지 억제 처리의 제 2 스테이지 동안과 상이한, 방법.
  15. 하나 이상의 피처 개구들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
    피처 축을 따라 억제 프로파일이 있도록 연속적인 스테이지들이 복수의 인터벌들 중 하나에 의해 분리되는, 복수의 스테이지들 및 상기 복수의 인터벌들에서 멀티-스테이지 억제 처리를 수행하는 단계; 및
    상기 억제 프로파일에 따라 상기 피처 내에 텅스텐을 선택적으로 증착하는 단계를 포함하는, 방법.
  16. 제 15 항에 있어서,
    상기 멀티-스테이지 억제 처리는 플라즈마 프로세스 및 플라즈마 소스 전력, 바이어스 전력, 처리 가스 플로우, 및 챔버 압력 중 하나 이상을 조정하는 것을 포함하는, 방법.
  17. 제 15 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 기판에 바이어스를 인가하는 동안 상기 피처를 직접 플라즈마에 노출시키는 것을 포함하는, 방법.
  18. 제 15 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처를 리모트-생성 플라즈마에 노출시키는 것을 포함하는, 방법.
  19. 제 15 항에 있어서,
    상기 멀티-스테이지 억제 처리 전에 상기 피처 내에 텅스텐층을 증착하는 단계를 더 포함하는, 방법.
  20. 제 15 항에 있어서,
    상기 피처 내에 텅스텐을 선택적으로 증착한 후에, 피처 충진을 완료하기 위해 상기 피처 내에 텅스텐을 증착하는 단계를 더 포함하는, 방법.
  21. 하나 이상의 피처 개구들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 및
    연속적인 스테이지들이 복수의 인터벌들 중 하나에 의해 분리되는, 복수의 스테이지들 및 복수의 인터벌들에서 처리 가스에 상기 피처를 노출시키는 것을 포함하는 멀티-스테이지 억제 처리를 수행하는 단계로서, 처리 가스 플로우 레이트가 인터벌 각각의 시작에서 감소되고 상기 인터벌의 끝에서 증가하며, 상기 멀티-스테이지 억제 처리는 상기 피처 개구들에서 금속의 핵생성을 우선적으로 억제하는, 상기 멀티-스테이지 억제 처리를 수행하는 단계를 포함하는, 방법.
  22. 제 21 항에 있어서,
    상기 처리 가스를 감소시키는 것은 상기 처리 가스 플로우를 턴 오프하는 것 (turning off) 을 포함하는, 방법.
  23. 제 21 항에 있어서,
    상기 멀티-스테이지 억제 처리는 비-플라즈마 억제 프로세스인, 방법.
  24. 제 21 항에 있어서,
    상기 처리 가스는 질소-함유 화합물을 포함하는, 방법.
  25. 제 21항에 있어서,
    상기 처리 가스는 N2인, 방법.
  26. 제 21 항에 있어서,
    상기 멀티-스테이지 억제 처리 전에 상기 피처 내에 텅스텐층을 증착하는 단계를 더 포함하는, 방법.
  27. 제 21 항에 있어서,
    상기 멀티-스테이지 억제 처리 후에, 상기 멀티-스테이지 억제 처리에 의해 형성된 억제 프로파일에 따라 상기 피처 내에 텅스텐을 선택적으로 증착하는 단계를 더 포함하는, 방법.
  28. 제 21 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처의 금속 나이트라이드 표면을 처리하는 것을 포함하는, 방법.
  29. 제 21 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처 내의 재료를 에칭하지 않고 수행되는, 방법.
  30. 제 21 항에 있어서,
    상기 피처는 상기 피처 내의 재료를 에칭하지 않고 충진되는, 방법.
  31. 제 21 항에 있어서,
    상기 피처는 3-D NAND 구조체 내의 워드라인 (wordline) 인, 방법.
  32. 제 21 항에 있어서,
    상기 피처를 충진하기 위해 상기 멀티-스테이지 억제 처리 및 선택적인 증착의 사이클을 1회 이상 반복하는 단계를 더 포함하는, 방법.
  33. 제 21 항에 있어서,
    적어도 협착부가 상기 피처 내에서 우선적으로 억제되는, 방법.
  34. 제 21 항에 있어서,
    상기 멀티-스테이지 억제 처리의 제 1 스테이지 동안 처리 가스 플로우 레이트는 제 2 스테이지 동안과 상이한, 방법.
  35. 하나 이상의 피처 개구들 및 충진되지 않은 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 및
    연속적인 스테이지들이 복수의 인터벌들 중 하나에 의해 분리되는, 복수의 스테이지들 및 복수의 인터벌들에서 처리 가스에 상기 피처를 노출시키는 것을 포함하는 멀티-스테이지 억제 처리를 수행하는 단계로서, 처리 가스 플로우 레이트는 인터벌 각각의 시작에서 감소되고 상기 인터벌의 끝에서 증가하고, 그리고 상기 멀티-스테이지 억제 처리는 상기 피처 내에서 금속의 핵생성을 억제하는, 상기 멀티-스테이지 억제 처리를 수행하는 단계를 포함하는, 방법.
  36. 제 35 항에 있어서,
    상기 처리 가스 플로우 레이트를 감소시키는 것은 상기 처리 가스의 플로우를 턴 오프하는 것 (turning off) 을 포함하는, 방법.
  37. 제 35 항에 있어서,
    상기 멀티-스테이지 억제 처리는 비-플라즈마 억제 프로세스인, 방법.
  38. 제 35 항에 있어서,
    상기 처리 가스는 질소-함유 화합물을 포함하는, 방법.
  39. 제 35 항에 있어서,
    상기 처리 가스는 N2인, 방법.
  40. 제 35 항에 있어서,
    상기 처리 가스는 NH3인, 방법.
  41. 제 35 항에 있어서,
    상기 멀티-스테이지 억제 처리 전에 상기 피처 내에 텅스텐층을 증착하는 단계를 더 포함하는, 방법.
  42. 제 35 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처의 금속 나이트라이드 표면을 처리하는 것을 포함하는, 방법.
  43. 제 35 항에 있어서,
    상기 멀티-스테이지 억제 처리는 상기 피처 내의 재료를 에칭하지 않고 수행되는, 방법.
  44. 제 35 항에 있어서,
    상기 피처는 상기 피처 내의 재료를 에칭하지 않고 충진되는, 방법.
  45. 제 35 항에 있어서,
    상기 피처는 3-D NAND 구조체 내의 워드라인 (wordline) 인, 방법.
  46. 제 35 항에 있어서,
    적어도 협착부가 상기 피처 내에서 우선적으로 억제되는, 방법.
  47. 제 35 항에 있어서,
    상기 멀티-스테이지 억제 처리의 제 1 스테이지 동안 상기 처리 가스 플로우 레이트는 제 2 스테이지 동안과 상이한, 방법.
  48. 제 35 항에 있어서,
    상기 멀티-스테이지 억제 처리 후에, 상기 멀티-스테이지 억제 처리에 의해 형성된 억제 프로파일에 따라 상기 피처 내에 텅스텐을 증착하는 단계를 더 포함하는, 방법.
  49. 제 48 항에 있어서,
    상기 피처를 충진하기 위해 상기 멀티-스테이지 억제 처리 및 증착의 사이클을 1회 이상 반복하는 단계를 더 포함하는, 방법.
  50. 제 48 항에 있어서,
    상기 멀티-스테이지 억제 처리 및 상기 증착은 멀티-스테이션 챔버의 상이한 스테이션들에서 수행되는, 방법.
  51. 제 48 항에 있어서,
    상기 멀티-스테이지 억제 처리 후에 그리고 상기 증착 전에, 제 1 장치의 제 1 스테이션으로부터 제 2 장치의 제 1 스테이션으로 상기 기판을 이송하는 단계를 더 포함하는, 방법.
  52. 하나 이상의 피처 개구들 및 충진되지 않은 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 및
    연속적인 스테이지들이 복수의 인터벌들 중 하나에 의해 분리되는, 복수의 스테이지들 및 복수의 인터벌들에서 처리 가스에 상기 피처를 노출시키는 것을 포함하는 멀티-스테이지 처리를 수행하는 단계로서, 처리 가스 플로우 레이트가 인터벌 각각의 시작에서 감소되고 상기 인터벌의 끝에서 증가하며, 그리고 상기 처리 가스는 질소-함유 가스인, 상기 멀티-스테이지 처리를 수행하는 단계를 포함하는, 방법.
  53. 하나 이상의 피처 개구들 및 충진되지 않은 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계; 및
    연속적인 스테이지들이 복수의 인터벌들 중 하나에 의해 분리되는, 복수의 스테이지들 및 복수의 인터벌들에서 NH3 가스에 상기 피처를 노출시키는 것을 포함하는 멀티-스테이지 처리를 수행하는 단계로서, NH3 플로우 레이트는 인터벌 각각의 시작에서 감소되고 상기 인터벌의 끝에서 증가하는, 상기 멀티-스테이지 처리를 수행하는 단계를 포함하는, 방법.
KR1020160060657A 2015-05-18 2016-05-18 멀티-스테이지 핵생성 억제와 함께 피처 충진 KR102663818B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020240057799A KR20240060779A (ko) 2015-05-18 2024-04-30 멀티-스테이지 핵생성 억제와 함께 피처 충진

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562163306P 2015-05-18 2015-05-18
US62/163,306 2015-05-18
US15/156,129 US10170320B2 (en) 2015-05-18 2016-05-16 Feature fill with multi-stage nucleation inhibition
US15/156,129 2016-05-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020240057799A Division KR20240060779A (ko) 2015-05-18 2024-04-30 멀티-스테이지 핵생성 억제와 함께 피처 충진

Publications (2)

Publication Number Publication Date
KR20160135672A KR20160135672A (ko) 2016-11-28
KR102663818B1 true KR102663818B1 (ko) 2024-05-08

Family

ID=57324601

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160060657A KR102663818B1 (ko) 2015-05-18 2016-05-18 멀티-스테이지 핵생성 억제와 함께 피처 충진
KR1020240057799A KR20240060779A (ko) 2015-05-18 2024-04-30 멀티-스테이지 핵생성 억제와 함께 피처 충진

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020240057799A KR20240060779A (ko) 2015-05-18 2024-04-30 멀티-스테이지 핵생성 억제와 함께 피처 충진

Country Status (4)

Country Link
US (3) US10170320B2 (ko)
KR (2) KR102663818B1 (ko)
CN (2) CN106169440B (ko)
TW (1) TWI706509B (ko)

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20230117645A (ko) 2017-04-26 2023-08-08 오티아이 루미오닉스 인크. 표면의 코팅을 패턴화하는 방법 및 패턴화된 코팅을포함하는 장치
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102414511B1 (ko) 2017-08-02 2022-06-30 삼성전자주식회사 3차원 반도체 소자
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401177B1 (ko) 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102404056B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20210081436A (ko) * 2018-11-19 2021-07-01 램 리써치 코포레이션 텅스텐을 위한 몰리브덴 템플릿들
CN111244025A (zh) * 2018-11-29 2020-06-05 北京北方华创微电子装备有限公司 填充方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11805645B2 (en) * 2019-08-16 2023-10-31 Micron Technology, Inc. Integrated assemblies having rugged material fill, and methods of forming integrated assemblies
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP2021150408A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体記憶装置
WO2021194768A1 (en) * 2020-03-27 2021-09-30 Lam Research Corporation Feature fill with nucleation inhibition
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2022032210A (ja) * 2020-08-11 2022-02-25 キオクシア株式会社 半導体記憶装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
KR20220108627A (ko) * 2021-01-27 2022-08-03 삼성전자주식회사 열전 소자를 구비한 수직형 비휘발성 메모리 소자, 그 메모리 소자를 구비한 반도체 패키지, 및 그 메모리 소자의 방열 방법
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113725147B (zh) * 2021-09-02 2023-10-10 长鑫存储技术有限公司 半导体结构制作方法及半导体结构
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023205184A1 (en) * 2022-04-19 2023-10-26 Lam Research Corporation Molybdenum integration and void-free fill
WO2023219745A1 (en) * 2022-05-10 2023-11-16 Applied Materials, Inc. Method of forming multi-tier tungsten features
CN115172268A (zh) * 2022-07-04 2022-10-11 中微半导体设备(上海)股份有限公司 一种在高深宽比结构中沉积钨的方法及其半导体基片
US20240035149A1 (en) * 2022-07-29 2024-02-01 Applied Materials, Inc. Bottom up molybdenum gapfill
US20240087955A1 (en) * 2022-09-09 2024-03-14 Applied Materials, Inc. Integrated pvd tungsten liner and seamless cvd tungsten fill
US20240162089A1 (en) * 2022-11-11 2024-05-16 Applied Materials, Inc. Surface depassivation with thermal etch after nitrogen radical treatment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050031786A1 (en) 2001-05-22 2005-02-10 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20140106083A1 (en) 2012-10-11 2014-04-17 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
JP3194971B2 (ja) 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Cvdチャンバに導入されるプロセスガスをcvdチャンバへの導入前に濾過するための装置
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JP3216345B2 (ja) * 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
KR200160641Y1 (ko) 1996-08-23 1999-11-15 맹섭 골프백용 등걸이 멜빵
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8518282B2 (en) 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
CN102265383B (zh) 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
EP2462148A1 (en) 2009-08-07 2012-06-13 Sigma-Aldrich Co. LLC High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
WO2011027834A1 (ja) 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
CN105814677B (zh) 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
TWI613845B (zh) * 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050031786A1 (en) 2001-05-22 2005-02-10 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20140106083A1 (en) 2012-10-11 2014-04-17 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition

Also Published As

Publication number Publication date
US10916434B2 (en) 2021-02-09
CN106169440A (zh) 2016-11-30
TW201712804A (zh) 2017-04-01
US10580654B2 (en) 2020-03-03
US20190080914A1 (en) 2019-03-14
US20200185225A1 (en) 2020-06-11
CN110629187A (zh) 2019-12-31
US10170320B2 (en) 2019-01-01
TWI706509B (zh) 2020-10-01
US20160343612A1 (en) 2016-11-24
KR20160135672A (ko) 2016-11-28
CN106169440B (zh) 2019-07-09
KR20240060779A (ko) 2024-05-08

Similar Documents

Publication Publication Date Title
KR102663818B1 (ko) 멀티-스테이지 핵생성 억제와 함께 피처 충진
US11901227B2 (en) Feature fill with nucleation inhibition
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
KR102100520B1 (ko) 핵생성 억제를 사용하는 텅스텐 피처 충진
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
KR20230161400A (ko) 텅스텐 갭충진 퍼포먼스를 향상시키기 위해 에칭 프로세스에서 rf 전력의 펄싱
US9548228B2 (en) Void free tungsten fill in different sized features
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
KR102185346B1 (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant