TWI706509B - 包含多段式抑制成核之特徵部塡充 - Google Patents

包含多段式抑制成核之特徵部塡充 Download PDF

Info

Publication number
TWI706509B
TWI706509B TW105115121A TW105115121A TWI706509B TW I706509 B TWI706509 B TW I706509B TW 105115121 A TW105115121 A TW 105115121A TW 105115121 A TW105115121 A TW 105115121A TW I706509 B TWI706509 B TW I706509B
Authority
TW
Taiwan
Prior art keywords
feature
filling
tungsten
plasma
suppression
Prior art date
Application number
TW105115121A
Other languages
English (en)
Other versions
TW201712804A (zh
Inventor
王德琪
阿南德 嘉德瑞什卡
拉許納 胡瑪雲
米歇爾 丹納克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201712804A publication Critical patent/TW201712804A/zh
Application granted granted Critical
Publication of TWI706509B publication Critical patent/TWI706509B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

本文描述以鎢填充特徵部之方法、及相關系統及設備,涉及鎢成核之抑制。在某些實施例中,方法涉及沿著特徵部輪廓之選擇性抑制。選擇性抑制鎢成核之方法可包含,使特徵部暴露至直接或遠端電漿。方法包含,實施多段式抑制處理,在複數階段中包含間隔。在間隔期間,可減少或關閉電漿來源功率、基板偏壓功率、或處理氣體流量其中一或多者。本文中所述之方法可用於填充例如鎢介層窗之垂直特徵部、及例如垂直NAND(VNAND)字元線之水平特徵部。方法可用於保形填充及由下而上∕由內而外之填充兩者。應用之範例包含邏輯及記憶體接觸窗填充、DRAM埋入式字元線填充、垂直整合式記憶體閘極及字元線填充、以及使用直通矽穿孔之3-D整合。

Description

包含多段式抑制成核之特徵部填充
本發明關於包含多段式抑制成核之特徵部填充。
使用化學氣相沉積(CVD)技術進行導電材料之沉積為許多半導體製造處理中不可或缺之部分。這些材料可用於水平內連線、在鄰近金屬層之間之介層窗、在第一金屬層與矽基板上之元件之間之接觸窗、以及高深寬比特徵部。在習知的鎢沉積處理中,基板於沉積腔室中被加熱至預定之處理溫度,並且沉積做為晶種層或成核層之含鎢材料之薄層。此後,其餘的含鎢材料(主體層)被沉積於該成核層上。習知地,含鎢材料係藉由六氟化鎢(WF6 )與氫氣(H2 )之還原反應而形成。含鎢材料係沉積於包含複數特徵部及一場區之基板之整個暴露表面區域上。
將含鎢材料沉積至,特別地,高深寬比的小特徵部中可能導致接縫及空隙形成於填充特徵部內。大接縫可能導致高電阻、污染、填充材料之損耗、以及另外使積體電路之效能劣化。例如,接縫可能在填充處理後延伸接近場區,接著在化學機械平坦化期間打開。
在一態樣中,提出一種抑制基板上之特徵部之方法。該方法包含:提供一基板,該基板包含一特徵部,該特徵部具有一或更多特徵部開口及一特徵部內部;及實施一多段式抑制處理,包含在至少一第一階段及一第二階段中使該特徵部暴露至由一處理氣體所產生之一電漿,在該第一階段與該第二階段之間具有一間隔,其中在該間隔期間減少電漿來源功率、基板偏壓或處理氣體流率其中一或多者,及其中該抑制處理優先地抑制在該等特徵部開口處之一金屬之成核。
在某些實施例中,在該間隔期間,關閉電漿來源功率、基板偏壓或處理氣體流率。該多段式抑制處理可包含,當施加一偏壓至該基板時,使該特徵部暴露至一直接電漿。在某些實施例中,該電漿包含氮、氫、氧及碳其中一或更多者之活化物種。在某些實施例中,該電漿可為以氮為基礎的或以氫為基礎的。在該特徵部中之該多段式抑制處理可包含,使該特徵部暴露至一遠端產生電漿。遠端產生電漿可為以自由基為基礎的,而該特徵部具有少量或沒有離子暴露。
在某些實施例中,在該多段式抑制處理之前,沉積一鎢層在該特徵部中。在某些實施例中,該方法包含,在該多段式抑制處理之後,根據由該多段式抑制處理所形成之一抑制輪廓,選擇性地沉積鎢在該特徵部中。該方法更包含,使該多段式抑制處理及選擇性沉積之循環重複一或更多次,以填滿該特徵部。
在實施該多段式抑制處理時,可能沒有蝕刻材料在該特徵部中。此外,在某些實施例中,在實施該特徵部填充時,可能沒有蝕刻材料在該特徵部中。在某些實施例中,該特徵部為3-D結構之部分。
在該特徵部中之至少一收縮部可能優先受到抑制。在某些實施例中,在該多段式抑制處理之前、之後或期間,可實施去抑制處理。
根據各種實施例,在該第一階段期間與在該第二階段期間之電漿來源功率、基板偏壓功率、處理氣體流量及腔室壓力其中一者係不同的。
另一態樣係關於一種填充特徵部之方法,包含:提供一基板,該基板包含一特徵部,該特徵部具有一或更多特徵部開口及一特徵部內部;在二或更多階段中實施一多段式抑制處理,在該等階段之間具有一間隔,俾使沿著一特徵部軸而具有一差別抑制輪廓;及根據該已修改的差別抑制輪廓,選擇性地沉積鎢在該特徵部中。
本發明之這些及其它態樣將參考著圖式而更完整地描述於下。
在以下敘述中,提出數個具體細節以提供對本發明之深入了解。本發明可在缺少這些具體細節之一部份或所有之情況下實施。在其它情況下,已為人所熟知之處理步驟將不再詳述,以免不必要地使本發明失焦。本發明將結合具體實施例進行說明,但應當了解,其並非意圖將本發明侷限於該等實施例。
本文描述以鎢填充特徵部之方法以及相關的系統及設備。應用之範例包含邏輯及記憶體接觸窗填充、DRAM埋入式字元線填充、垂直整合式記憶體閘極∕字元線填充、以及具有直通矽穿孔(TSV)之3-D整合。本文描述之方法可用以填充垂直特徵部(例如,在鎢介層窗中)、以及水平特徵部(例如,垂直NAND(VNAND)字元線)。該等方法可用於保形填充以及由下而上或由內而外之填充。
根據各種實施例,特徵部之特徵可為狹窄及∕或凹陷開口、特徵部內之收縮部、及高深寬比之一或更多者。可填充特徵部之範例係描繪於圖1A-1C。圖1A顯示待以鎢填充之垂直特徵部101之橫剖面圖之範例。該特徵部可包含在基板103中之特徵部孔105。基板可為矽晶圓,例如200 mm晶圓、300 mm晶圓、或450 mm晶圓,包含其上沉積著一或更多材料層(例如,介電、導電或半導電材料層)之晶圓。在某些實施例中,特徵部孔105之深寬比可為至少約2:1、至少約4:1、至少約6:1或更高。特徵部孔105之靠近開口之尺寸(例如,開口直徑或線寬)可介於約10 nm至500 nm之間(例如,介於約25 nm至300 nm之間)。特徵部孔105可稱為未填充特徵部或直接稱為特徵部。該特徵部及任何特徵部之部份特徵為延伸穿過特徵部長度之軸118,垂直定向的特徵部具有垂直軸而水平定向的特徵部具有水平軸。
圖1B顯示具有凹陷(re-entrant)輪廓之特徵部101之範例。凹陷輪廓係由特徵部之底部、封閉端、或內部變窄至特徵部開口之輪廓。根據各種實施例,輪廓可逐漸變窄及∕或包含懸伸部(overhang)於特徵部開口處。圖1B顯示後者之範例,下層113做為特徵部孔105之側壁或內表面之襯墊。下層113可為,例如,擴散阻障層、黏著層、成核層、該等層之組合、或任何其它適合的材料。這樣的下層113之範例包含鈦氮化物(TiN)下層、鈦∕鈦氮化物(Ti/TiN)下層、及鎢氮化物(WN)下層。下層113形成懸伸部115,俾使下層113在靠近特徵部101之開口之厚度比在特徵部101內部之厚度更厚。
在某些實施例中,可填充其內具有一或更多收縮部之特徵部。圖1C顯示具有收縮部之各種填充特徵部之視圖之範例。圖1C之範例 (a)、(b)、及 (c) 其中每一者包含收縮部109於該特徵部內之中間點。收縮部109可為,例如,在約15 nm-20 nm之間之寬度。在使用習知技術將鎢沉積於特徵部中之期間,收縮部可能造成夾止,已沉積的鎢在特徵部之該部分被填充前,阻擋進一步的沉積通過收縮部,導致在特徵部中出現空隙。範例 (b)更包含:襯墊∕阻障懸伸部115於特徵部開口處。這樣的懸伸部亦可為潛在的夾止點。範例 (c) 包含收縮部112,收縮部112比範例 (b)之懸伸部115更遠離場區。如以下之進一步描述,本文中所描述之方法可實現圖1C所描繪之無空隙填充。
水平特徵部,例如在3-D記憶體結構中,亦可進行填充。圖1D顯示在VNAND結構148中之字元線150之範例,VNAND結構148包含收縮部151。在某些實施例中,收縮部可能由在VNAND或其它結構中存在之支柱所造成。例如,圖1E顯示在VNAND結構中之支柱125之平面圖,而圖1F顯示支柱125之橫剖面之簡化概要圖。圖1E中之箭頭代表沉積材料;由於支柱125設置於區域127與氣體入口或其它沉積來源之間,相鄰的支柱可能產生收縮部,造成區域127之無空隙填充之困難。
圖1G提供,例如,VNAND或包含支柱收縮部151之其它結構之水平特徵部之另一範例。圖1G之範例係末端開放式,待沉積之材料能夠由箭頭所示之兩側以橫向進入。(應當注意,圖1G之範例可視為以2D加以描繪之3D結構特徵部,圖1G為待填充區域之橫剖面圖,且圖中所示之支柱收縮部代表平視圖而非橫剖面圖中可看到之收縮部)。 在某些實施例中,3-D 結構之特徵可為待填充區域沿著三維(例如在圖1F之範例中之X、Y、及Z方向)延伸,且相較於填充沿著一維或二維延伸之孔或溝槽,此填充可帶來更多挑戰。例如,控制3-D結構之填充十分具有挑戰性,因為複數沉積氣體可從數個維度進入特徵部。
利用含鎢材料填充特徵部可能導致空隙及接縫形成於受填充特徵部內。空隙為特徵部中未填充之區域。例如,當沉積材料於特徵部內形成一夾點,密封了特徵部內之未填充空間而防止反應物進入及沉積時,空隙會形成。
形成空隙及接縫之可能原因很多。其中一個是在含鎢材料之沉積期間形成於靠近特徵部開口之懸伸部,或更典型地,在其它材料(例如,擴散阻障層或成核層)之沉積期間形成於靠近特徵部開口之懸伸部。圖1B顯示懸伸部之一範例。
未顯示於圖1B、但可能導致接縫形成或接縫擴大之另一個空隙或接縫形成原因為,特徵部孔之弧形側壁。具有這樣的弧形側壁之特徵部亦稱為弓形特徵部。在弓形特徵部中,靠近開口之孔洞之橫剖面尺寸係小於該特徵部內部之孔洞之橫剖面尺寸。由弓形特徵部之狹窄開口所造成之沉積挑戰係類似於上述之懸伸部所造成者。如圖1C、1D、及1G所顯示之特徵部內之收縮部,亦使達成不具有或具有很少空隙及接縫之鎢填充顯得困難。
即使達成了無空隙填充,特徵部中之鎢可能包含貫穿介層窗、溝槽、襯墊或其它特徵部之軸或中央之接縫。這是因為鎢可在側壁開始生長,並持續進行直到鎢晶粒接觸到從相對之側壁所生長之鎢。此接縫可能捕捉雜質,包含含氟化合物,例如氫氟酸(HF)。在化學機械平坦化(CMP)期間,亦可能從接縫處產生去核現象(coring)。根據各種實施例,本文中所描述之方法可減少或消除空隙及接縫之形成。本文中所述之方法亦可解決下列一或更多者:
1)非常具挑戰性的輪廓:使用如美國專利第8,435,894號(其併入於此做為參考)所描述之沉積-蝕刻-沉積循環,可在大多數凹陷特徵部中達成無空隙填充。然而,取決於尺寸及幾何形狀,可能需要進行數個沉積-蝕刻-沉積之循環以實現無空隙填充。此可能影響處理之穩定性及產量。本文中所描述之實施例可提供具有較少或不具有沉積-蝕刻-沉積循環之特徵部填充。
2)小特徵部及襯墊∕阻障影響:當特徵部尺寸非常小時,調整蝕刻處理而不影響襯墊∕阻障下層之完整性可能十分困難。在某些情況下,間歇性的鈦(Ti)攻擊可能發生於W選擇性蝕刻期間。此可能是由於在蝕刻期間形成之鈍化鈦氟化物(TiFx )層所造成。
3)在W晶粒邊界處之散射:於特徵部內之多個W晶粒之存在可能由於晶粒邊界散射而導致電子損耗。因此,相較於理論上的預測值及無圖案晶圓之結果,實際的元件性能將會劣化。
4) 用於W填充之介層窗體積減少:特別是在較小及較新的特徵部中,金屬接觸窗之頗大部分係由W阻障(例如,TiN或WN等阻障)所使用。這些膜之電阻率通常高於W,且對於電性(例如,接觸窗電阻)造成負面影響。
圖2-4提供了可解決上述問題之鎢特徵部填充之各種處理之概要,各種特徵部之鎢填充之範例係參照圖5-7加以描述。
圖2A為一處理流程圖,顯示利用鎢填充特徵部之方法之某些操作。該方法開始於方塊201之特徵部之選擇性抑制。選擇性抑制亦可稱為選擇性鈍化、差別抑制、或差別鈍化,其涉及抑制在特徵部之一部分上之後續鎢成核,而不抑制在特徵部其餘部分上之成核(或在較小程度上抑制成核)。例如,在某些實施例中,在特徵部開口處之特徵部被選擇性地抑制,而特徵部內部之成核則不受抑制。選擇性抑制係進一步描述於下,並且可能涉及,例如,選擇性地使特徵部之一部份暴露至電漿之活化物種。在某些實施例中,例如,特徵部開口係選擇性地暴露至由氮氣分子所產生之電漿。如下所進一步討論,在特徵部中之期望抑制輪廓可藉由適當地選擇抑制化學品、基板偏壓功率、電漿功率、處理壓力、暴露時間、及其它處理參數之一或更多者而形成。
一旦特徵部受到選擇性抑制,該方法可繼續方塊203之根據抑制輪廓而選擇性地沉積鎢。方塊203可能涉及一或更多化學氣相沉積(CVD)及∕或原子層沉積(ALD)處理,包含熱、電漿增強CVD及∕或ALD處理。此沉積為選擇性的,因為鎢會優先生長在特徵部之較不受抑制及非受抑制之部份。在某些實施例中,方塊203涉及選擇地沉積鎢於特徵部之底部或內部部分,直到達到或超過收縮部。
在根據抑制輪廓進行選擇性沉積後,該方法可繼續方塊205之填充特徵部之其餘部分。在某些實施例中,方塊205涉及CVD處理,其中含鎢前驅物係由氫所還原以沉積鎢。雖然經常使用六氟化鎢(WF6 ),但此處理之實施可使用其它鎢前驅物,包含,但不限於六氯化鎢(WCl6 )、有機金屬前驅物、及無氟前驅物,例如MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)。此外,雖然可使用氫氣做為CVD沉積中之還原劑,但可使用其它還原劑(包含矽烷)以添加至氫氣或取代氫氣。在另一實施例中,六羰鎢(W(CO)6 )可連同或不連同還原劑使用。不同於以下所述之ALD及脈衝成核層(PNL)處理,在CVD技術中,WF6 及H2 或其它反應物係同時引入反應腔室中。因此產生混合反應氣體之連續化學反應,持續形成鎢膜於基板表面上。使用CVD以沉積鎢膜之方法係描述於美國專利申請案第12/202,126號、第12/755,248號及第12/755,259號中,其全部內容係併入於本文中做為參考,以用於描述鎢沉積處理。根據各種實施例,本文中所述之方法並不限於填充特徵部之特定方法,而可包含任何適當的沉積技術。
在某些實施例中,方塊205可涉及持續進行開始於方塊203之CVD沉積處理。這樣的CVD處理可導致於特徵部之受抑制部份上之沉積,而此處之成核比特徵部之非受抑制部份上之成核更慢。在某些實施例中,方塊205可涉及將鎢成核層沉積於特徵部之至少受抑制部份上。
根據各種實施例,受選擇性抑制之特徵部表面可為阻障層或襯墊層(例如金屬氮化物層)、或可為被沉積以促進鎢成核之一層。圖2B顯示一方法之範例,其中在選擇性抑制前,將鎢成核層沉積於特徵部中。該方法開始於方塊301之沉積保形鎢薄層於特徵部中。該層可增進於其上之主體含鎢材料之後續沉積。在某些實施例中,成核層之沉積係使用 PNL技術。在PNL技術中,還原劑、吹淨氣體、及含鎢前驅物之脈衝可依序地注入至反應腔室中及從反應腔室吹淨。該過程以循環方式重覆,直到達到所期望的厚度。PNL廣泛地體現依序添加反應物以於半導體基板上進行反應之任何循環處理,包含ALD技術。用於沉積鎢成核層之PNL技術係描述於美國專利第6,635,965號、第7,589,017號、第7,141,494號、第 7,772,114號、第8,058,170號,以及美國專利申請案第12/755,248 號及第12/755,259號中,其全部內容係併入於本文中做為參考,用以描述鎢沉積處理。方塊301不限於鎢成核層沉積之特定方法,但包含用以沉積薄保形層之PNL、ALD、CVD、及物理氣相沉積(PVD)技術。成核層可足夠厚以完全覆蓋特徵部,以維持高品質之主體沉積;然而,由於成核層之電阻率高於主體層之電阻率,因此成核層之厚度可最小化,以儘可能保持低總電阻。在方塊301中所沉積之膜之示例性厚度可從小於10 Å至100 Å之範圍內。在方塊301中之沉積保形鎢薄層後,該方法可繼續方塊201、203、及205之步驟,如前參照圖2A所述。根據圖2B之方法之填充特徵部之範例係參照圖5D而描述於下。
在某些實施例中,在方塊301中所沉積之層之厚度可用於調節後續操作之抑制效應。圖2D顯示生長延遲時間(在抑制之後)與在抑制處理之前所沉積之鎢層之厚度之函數。該層愈薄,則抑制效應愈強。第一資料點反映出僅實施於成核層上之抑制處理。可選擇性地抑制非保形層,較薄的層具有較強的抑制。
圖2C顯示方法之範例,其中完成特徵部填充(例如,在圖2A或圖3之方塊205)可涉及重複選擇性抑制及沉積操作。該方法可開始於方塊201,如上參照圖2A所述,其中該特徵部受到選擇性抑制,並且繼續方塊203之根據抑制輪廓進行選擇性沉積。接著重覆方塊201及203一或更多次(方塊401)以完成特徵部填充。根據圖2C之方法之特徵部填充之範例係參照圖6描述於下。
更進一步地,選擇性抑制可結合選擇性沉積使用。選擇性沉積技術係描述於上述參照之美國專利公開案第2013/0302980號中。
根據各種實施例,選擇性抑制可涉及暴露至使特徵部表面鈍化之活化物種。例如,在某些實施例中,可藉由暴露至以氮為基礎或以氫為基礎的電漿,使鎢(W)表面鈍化。在某些實施例中,抑制可涉及在活化物種與特徵部表面之間之化學反應,以形成化合物材料(例如,鎢氮化物(WN)或鎢碳化物(WC))之薄層。在某些實施例中,抑制可涉及表面效應,例如在不形成化合物材料層之情況下使表面鈍化之吸附。活化物種可藉由任何適當的方法而形成,包含藉由電漿產生及∕或暴露至紫外線(UV)輻射。在某些實施例中,包含特徵部之基板係暴露至電漿,該電漿產生自供給至放置基板之腔室中之一或更多氣體。在某些實施例中,一或更多氣體可供給至遠端電漿產生器中,而形成於遠端電漿產生器之活化物種係供給至放置基板之腔室中。電漿源可為任何類型的來源,包含射頻(RF)電漿源或微波源。電漿可為感應及∕或電容耦合式。活化物種可包含原子物種、自由基物種、及離子物種。在某些實施例中,暴露至遠端產生之電漿包含暴露至自由基及原子化物種,實質上沒有離子物種存在於電漿中,俾使抑制處理並非由離子媒介。在其它實施例中,離子物種可存在於遠端產生之電漿中。在某些實施例中,暴露至原位(in-situ)電漿涉及由離子媒介之抑制。基於本申請案之目的,活化物種係有別於再結合物種及最初供給至電漿產生器中之複數氣體。
抑制化學品可配合後續將暴露至沉積氣體之表面而加以調整。對於鎢(W)表面(例如,如參照圖2B所述之方法中所形成之鎢表面)而言,暴露至以氮為基礎及∕或以氫為基礎的電漿會抑制在W表面上之後續鎢沉積。其它可用於抑制鎢表面之化學品包含以氧為基礎的電漿、及以烴為基礎的電漿。例如,氧氣分子或甲烷可引入至電漿產生器。
如本文中所使用,以氮為基礎的電漿為其中之主要非惰性成分為氮之電漿。可使用惰性成分(例如,氬、氙或氪)做為載氣。在某些實施例中,除了微量外,無其它非惰性成分存在於產生電漿之氣體中。類似地,以氫為基礎的電漿為其中之主要非惰性成分為氫之電漿。在某些實施例中,抑制化學品可為含氮、含氫、含氧、及∕或含碳,而電漿中存在著一或更多額外的反應性物種。例如,併入於本文中做為參考之美國專利申請案第13/016,656號描述藉由暴露至三氟化氮(NF3 )之鎢表面鈍化。類似地,可使用氟碳化合物,例如CF4 或C2 F8 。然而,在某些實施例中,抑制物種為無氟,以防止在選擇性抑制期間之蝕刻。
在某些實施例中,可使用UV輻射以添加至電漿或取代電漿,以提供活化物種。氣體可暴露於在放置基板之反應腔室之上游及∕或內部之UV光。再者,在某些實施例中,可使用非電漿、非UV之熱抑制處理。除了鎢表面以外,襯墊∕阻障層表面(例如,TiN及∕或WN表面)之成核可受抑制。可使用任何鈍化該等表面之化學品。對於TiN及WN而言,此可包含暴露至以氮為基礎的化學品或含氮化學品。在某些實施例中,上述之用於W之化學品亦可用於TiN、WN、或其它襯墊層之表面。
調整抑制輪廓可涉及適當地控制抑制化學品、基板偏壓功率、電漿功率、處理壓力、暴露時間、及其它處理參數。對於原位電漿處理(或存在離子物種之其它處理),可施加偏壓至基板。在某些實施例中,基板偏壓可顯著地影響抑制輪廓,偏壓功率之增加導致活性物種更深入於特徵部中。例如,在300 mm基板上之100 W直流偏壓可導致1500 nm深之結構之上半部分之抑制,而700 W之偏壓可導致整個結構之抑制。適合特定選擇性抑制之絕對偏壓功率將取決於基板尺寸、系統、電漿類型、及其它處理參數,也取決於所需的抑制輪廓,但偏壓功率可用以調整由上至下的選擇性,而減少偏壓功率產生較高的選擇性。
對於期望為橫向方向(在結構之內部中對於鎢沉積係較佳的)而非垂直方向之選擇性的3-D結構而言,偏壓功率可用以增進由上至下之沉積均勻性。藉由使抑制物種更快速地向3-D結構高度之下方移動,偏壓功率亦可減少抑制處理時間。此有利於產量以及防止處理非期望地在字元線(WL)或其它橫向特徵部之內部延伸。後者可能發生於較長持續期間之處理中。
雖然在某些實施例中偏壓功率可用於做為主要或唯一的旋鈕,以調整離子物種之抑制輪廓,但在某些情況下,其它實施的選擇性抑制係使用其它參數而添加至或取代偏壓功率。此包含遠端產生的非離子電漿處理及非電漿處理。此外,在許多系統中,可輕易地施加基板偏壓以調整垂直而非橫向方向之選擇性。因此,對於期望橫向選擇性之3-D結構而言,可控制偏壓以外之參數,如上所述。再者,在某些實施例中,可在沒有偏壓之情況下實施抑制處理,以防止濺射。例如,偏壓可能濺射在3-D結構之外部部分上之金屬。該濺射可能消除抑制效應。
藉由使用不同比例之活性抑制物種,抑制化學品亦可用於調整抑制輪廓。例如,對於W表面之抑制,氮可能具有比氫更強之抑制效果;在形成以氣體為基礎的電漿時,調整N2 與H2 氣體之比例可用以調整輪廓。電漿功率亦可用於調整抑制輪廓,不同比例之活性物種係由電漿功率所調整。處理壓力可用於調整輪廓,因為壓力可導致更多再結合(使活性物種去活化)以及將活性物種進一步推入特徵部中。處理時間亦可用於調整抑制輪廓,處理時間之增加使抑制更深入特徵部中。
在某些實施例中,可藉由在一質傳限制狀態中執行操作201而達成選擇性抑制。在此狀態中,在特徵部內部之抑制率係受限於擴散至特徵部中之不同抑制材料成分(例如,初始抑制物種、活化抑制物種、及再結合抑制物種)之量及∕或相對組成。在某些範例中,抑制率取決於在特徵部內之不同位置處之各種成分之濃度。
質傳限制條件之部份特徵可為整體抑制濃度之變化。在某些實施例中,在特徵部內部之濃度低於靠近特徵部開口處之濃度,導致靠近開口處之抑制率高於內部。進而導致靠近特徵部開口處之選擇性抑制。可藉由供應有限數量之抑制物種至處理腔室中(例如,使用相對於孔洞輪廓及尺寸之低抑制氣體流率)而達成質傳限制處理條件,同時在靠近特徵部開口處維持相對較高之抑制率,以在活化物種擴散進入特徵部時消耗一些活化物種。在某些實施例中,濃度梯度十分巨大,此可導致相對較高之抑制動力學及相對較低之抑制供應。在某些實施例中,靠近開口處之抑制率亦可為質傳限制,雖然此非達到選擇性抑制之必要條件。
除了在特徵部內部之整體抑制濃度變化,選擇性抑制可受到在整個特徵部中不同抑制物種之相對濃度之影響。該等相對濃度進而可取決於抑制物種之解離及再結合過程中之相對動力學。如上所述,初始抑制材料(例如氮氣分子)可通過遠端電漿產生器及∕或受原位電漿之影響以產生活化物種(例如,氮原子、氮離子)。然而,活化物種可能再結合為活性較低的再結合物種(例如,氮分子)及∕或沿著其擴散路徑而與W、WN、TiN、或其它特徵部表面反應。因此,特徵部之不同部份可能暴露於不同濃度之不同抑制材料,例如初始抑制氣體、活化抑制物種、及再結合抑制物種。這提供了控制選擇性抑制之額外的機會。舉例來說,活化物種通常比初始抑制氣體及再結合抑制物種之反應性更佳。再者,在某些情況下,活化物種對於溫度變化可能比再結合物種更不敏感。因此,可控制處理條件,俾使移除係主要歸因於活化物種。如上所述,某些物種可能比其它物種具有更高的反應性。此外,特定處理條件可導致活化物種在靠近特徵部開口處之濃度高於特徵部內部之濃度。例如,當擴散至特徵部更深處時,某些活化物種可能被消耗(例如,與特徵部表面之材料反應及∕或吸附在表面上)及∕或再結合,特別是在具有高深寬比之小特徵部中。活化物種之再結合亦可發生於特徵部之外,例如在噴淋頭或處理腔室中,並且可能取決於腔室壓力。因此,可具體地控制腔室壓力以調整在腔室及特徵部之各種位置之活化物種之濃度。
抑制氣體之流率可取決於腔室尺寸、反應速率、及其它參數。可選擇流率,俾使更多抑制材料集中於靠近特徵部開口(相較於特徵部之內部)。在某些實施例中,該等流率導致質傳限制的選擇性抑制。例如,供給每站195公升腔室之流率可在約25 sccm與10,000 sccm之間,或在更特定的實施例中,在約50 sccm與1,000 sccm之間。在某些實施例中,流率係小於約2,000 sccm、小於約1,000 sccm、或更具體地,小於約500 sccm。應當注意,該等數值係用於處理300 mm基板之一個獨立站。該等流率可放大或縮小,取決於基板尺寸、設備中之站之數目(例如,四站裝置即為四倍)、處理腔室之體積、及其它因素。
在某些實施例中,在選擇性抑制之前,可進行基板之加熱或冷卻。為使基板達到預定溫度,可使用各種裝置,例如站內之加熱或冷卻元件(例如,安裝於基座內之電阻加熱器或經由基座循環之傳熱流體)、基板之上之紅外線燈、點燃電漿等等。
可選擇基板之預定溫度,以引起在特徵部表面與抑制物種之間之化學反應及∕或促進抑制物種之吸附,並且控制反應或吸附之速率。例如,可選擇一溫度以具有高反應速率,俾使發生於靠近開口處之抑制多於特徵部內部。再者,亦可選擇溫度以控制活化物種之再結合(例如,氮原子再結合為氮分子)及∕或控制主要造成抑制之物種(例如,活化或再結合物種)。在某些實施例中,基板係維持在低於約300 °C,或更具體而言,在低於約250 °C或低於約150 °C,或甚至低於約100 °C。在其它實施例中,基板係加熱至約300 °C與450 °C之間,或在更具體的實施例中,至約350 °C與400 °C之間。其它溫度範圍可使用於不同類型之抑制化學品中。亦可選擇暴露時間以造成選擇性抑制。暴露時間之範例可介於約10秒至500秒之範圍內,取決於期望的選擇性及特徵部深度。
在某些實施例中,對於上述的抑制處理進行調節,以改善選擇性及調整抑制輪廓。圖3A-3C及圖4A-4E提供選擇性抑制在特徵部中之鎢沉積之流程圖之範例。圖3A-3C提供基板處理之範例,該基板處理係在使基板暴露至以氮為基礎的電漿或其它抑制化學品之前。首先,在圖3A中,處理開始於使包含特徵部之基板暴露至受控的真空中斷(350)。如本文中所使用,真空中斷表示基板並非在真空中之一期間。在方塊350中,基板可,例如,在儲存卡匣(例如,前開式晶圓傳送盒或FOUP)中或在裝載室中暴露至大氣壓。在某些實施例中,基板可暴露至大氣溫度及∕或氣體(亦即,空氣)。或者,可控制溫度及氣體組成。可控制方塊350之持續期間,以有效地調節後續的抑制處理。接著,使基板暴露至如上所述之抑制處理(352)。在特定範例中,使基板暴露至以氮為基礎的電漿。圖3A所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。在某些實施例中,方塊350之實施係在沉積薄膜於特徵部中(例如,如圖2B之方塊301中所示)之後。在一範例中,可在第一真空腔室中沉積鎢薄膜於特徵部中,接著在FOUP或負載室中進行受控的真空中斷,接著在第二真空腔室中暴露至以氮為基礎的電漿。
圖3B之處理係類似於圖3A之處理,而使包含特徵部之基板暴露至氧化化學品(354)。在某些實施例中,方塊354之實施可在反應腔室外部,例如,在FOUP或負載室中。或者,方塊354可涉及使基板在處理腔室中暴露至氧化氣體,例如O2 、O3 、CO2 、H2 O等等。方塊354之實施可在真空下或在大氣壓力下。根據各種實施例,方塊354可能或可能不涉及電漿或UV活化物種之使用。例如,方塊354可涉及使基板在非電漿條件下暴露至O2 ,俾使O2 為非活化。在方塊354之後,使基板暴露至抑制處理(352)。在一特定範例中,使基板暴露至以氮為基礎的電漿。方塊354及352之實施可在相同腔室或不同腔室中。圖3B所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。在某些實施例中,方塊354之實施係在沉積薄膜於特徵部中(例如,如圖2B之方塊301中所示)之後。
在某些實施例中,在圖3A中之方塊350或在圖3B中之方塊354涉及在特徵部中形成氧化物。例如,在具有保形鎢薄膜沉積於特徵部中(例如,如圖2B之方塊301中)之實行例中,鎢氧化物(WOx )可形成在特徵部中。在某些實施例中,在特徵部中之WOx 形成為非保形的。
圖3D顯示實施於以下序列之後之鎢沉積之生長延遲:a) 沉積鎢層,b) 暴露至空氣(真空中斷),及 c) 暴露至以氮為基礎的電漿抑制處理。如圖3D所示,藉由減輕以氮為基礎的電漿之抑制效應,空氣中斷會調節該效應。
圖3C之處理涉及使包含特徵部之基板暴露至反應性化學品(356)。反應性化學品之範例包含還原化學品(例如,B2 H6 、SiH4 )及含鎢化學品(例如,WF6 、WCl6 )。在方塊 356之後,使基板暴露至抑制處理(352)。在一特定範例中,使基板暴露至以氮為基礎的電漿。方塊356及352之實施可在相同腔室或不同腔室中。圖3C所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。在某些實施例中,方塊356之實施係在沉積薄膜於特徵部中(例如,如圖2B之方塊301中所示)之後。方塊356可稱為浸泡(soak),而且通常為非電漿操作。
在下文中,表1將在二硼烷浸泡後所實施之抑制與在不浸泡後所實施之抑制加以比較。對於兩個處理,沉積100 Å之鎢成核層,接著進行浸泡∕不浸泡操作,接著暴露至氮電漿。在抑制處理後之沉積操作為300秒(包含延遲)。   表1:具有及不具預抑制處理二硼烷浸泡之抑制
Figure 105115121-A0304-0001
在表1中之結果指出,富含B2 H6 之表面會調節抑制效應(增強該效應)。
圖4A-4D提供基板處理之範例,該基板處理係在使基板暴露至以氮為基礎的電漿或其它抑制化學品之後以及在鎢沉積之前。該處理對抑制進行調節。首先,在圖4A中,處理開始於使包含特徵部之基板暴露至如上所述之抑制處理(450)。在特定範例中,使基板暴露至以氮為基礎的電漿。接著,使基板進行退火(452)。方塊452可涉及提高溫度,例如,至少50 °C、100 °C或200 °C。退火之實施可,例如,在惰性環境中、或在氧化環境中。方塊450及452之實施可在相同腔室或不同腔室中。圖4A所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。方塊452之實施可在待實施後續的鎢沉積之腔室中。在某些實施例中,方塊450可實施為在圖3A-3C中之方塊352之部分,亦即,在調節預處理之後。
圖4B之處理涉及,在使包含特徵部之基板暴露至如上述之抑制處理(450)之後,使其暴露至反應性化學品(454)。反應性化學品之範例包含還原化學品(例如,B2 H6 、SiH4 )及含鎢化學品(例如,WF6 、WCl6 )。方塊450及454之實施可在相同腔室或不同腔室中。圖4B所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。在某些實施例中,在方塊454中之反應性化學品為使用在後續的鎢沉積操作中之一或更多化合物。在某些實施例中,方塊450可實施為在圖3A-3C中之方塊352之部分,亦即,在調節預處理之後。方塊454可稱為浸泡,而且通常為非電漿操作。
圖4C之處理涉及,在使包含特徵部之基板暴露至如上述之抑制處理(450)之後,使其暴露至氧化化學品(456)。氧化化學品之範例包含O2 、O3 、CO2 、及H2 O。方塊456及450之實施可在相同腔室或不同腔室中。根據各種實施例,方塊456可能或可能不涉及電漿或UV活化物種之使用。例如,方塊456可涉及使基板在非電漿條件下暴露至O2 ,俾使O2 為非活化。方塊450及456之實施可在相同腔室或不同腔室中。圖4C所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。在某些實施例中,方塊450可實施為在圖3A-3C中之方塊352之部分,亦即,在調節預處理之後。
圖4D之處理涉及,在使包含特徵部之基板暴露至如上述之抑制處理(450)之後,使其暴露至濺射氣體(458)。濺射氣體之範例包含Ar及H2 。方塊450及458之實施可在相同腔室或不同腔室中。圖4D所示之處理可實施為在如圖2A-2C所示之處理中之方塊201之部分。在某些實施例中,方塊450可實施為在圖3A-3C中之方塊352之部分,亦即,在調節預處理之後。
圖4E之處理涉及,在使基板暴露至抑制處理(450)之後,使其暴露至一或更多鎢前驅物∕還原劑循環而不沉積鎢(460)。鎢前驅物∕還原劑循環涉及,交替鎢前驅物及還原劑之脈衝。該序列可類似於沉積鎢成核層之PNL或ALD機制。然而,相較於成核層沉積,在方塊460期間實質上沒有鎢(沒有鎢或少於一鎢原子層)沉積。可調整在一或更多鎢前驅物脈衝期間之脈衝時間、鎢前驅物濃度、及∕或用量,以確保實質上沒有鎢沉積。例如,這些參數之一或更多者可能低於在鎢成核層循環期間。在另一範例中,還原劑脈衝時間可大於鎢前驅物脈衝時間,例如,1.5至5倍大。
如美國專利第8,058,170號(其併入於本文中做為參考)所述,使已沉積鎢成核層暴露至還原劑∕鎢前驅物之交替循環而不沉積鎢係做為低電阻率處理,降低鎢成核層之電阻率。如下參考圖4G及4H之進一步討論,除了調節抑制效應之外,方塊460可降低電阻率並且改善已沉積鎢膜之應力。
圖4F顯示實施於以下序列之後之鎢沉積之生長延遲:a) 沉積鎢層,b) 暴露至以氮為基礎的電漿抑制處理,及 c) 暴露至熱退火。如圖4F所示,退火會調節氮電漿之抑制效應(減少該效應)。
在下文中,表2將在二硼烷浸泡前之抑制與在不浸泡前之抑制加以比較。對於兩個處理,沉積鎢層,接著暴露至氮電漿,接著進行浸泡∕不浸泡操作。 表2:具有及不具抑制後處理二硼烷浸泡之抑制
Figure 105115121-A0304-0002
在表2中之結果指出,抑制後B2 H6 浸泡會調節抑制效應(減少該效應)。此係因為利用反應性氣體之浸泡會增加成核位置。
在調節抑制效應之處理之另一範例中,可在使包含特徵部之基板暴露至如上述之抑制處理之後,使其暴露至含氫電漿。含氫電漿之範例包含由氫(H2 )氣所產生之遠端及原位電漿。暴露至H2 電漿會減少抑制效應。
上述之各種抑制後處理可用於減少抑制效應,並且可稱之為“去抑制"處理。圖5A-5C為顯示在使用這樣的處理而以鎢填充特徵部之操作之流程圖之範例。
首先,圖5A為處理流程圖,顯示根據某些實施例之填充特徵部之方法之範例。處理開始於方塊501,處理基板以抑制在基板上之鎢沉積。上文中已提出抑制處理之範例,包含暴露至以氮為基礎的電漿。方塊501可涉及在基板上之一或更多特徵部之非保形抑制。接著在方塊503,在受抑制基板表面上實施鎢沉積。在某些實施例中,方塊503涉及根據非保形抑制輪廓之選擇性沉積。處理基板以減少抑制效應(方塊505)。如上所提及,這樣的處理可稱為去抑制處理。包含退火及還原劑浸泡之這樣的處理之範例係描述於上。接著沉積鎢在已去抑制基板表面上(方塊507)。
在某些實施例中,在方塊503之後,可以鎢完全填充在基板上之一或更多特徵部。在方塊505中之去抑制處理可實施於,例如,在基板之場區中之鎢上、或在未被方塊505完全填充之特徵部中。例如,方塊503可填充較小的特徵部,留下較大的特徵部部分未填充。藉由實施去抑制處理,可顯著地增加鎢沉積速率。此對於不期望選擇性沉積之表面係有利的。
在圖5B中,將鎢沉積在特徵部中(449)。方塊449涉及以鎢部分填充特徵部。在某些實施例中,方塊449涉及沉積保形薄膜,如同關於圖2B之方塊301之上述內容。接著,使基板暴露至如上所述之抑制處理(450)。在使基板暴露至抑制處理之後,使基板暴露至減少抑制效應之去抑制處理。上文中已提出去抑制處理之範例,包含含氫電漿、還原劑熱浸泡、及熱退火。接著,根據上述之抑制輪廓以實施鎢之選擇性沉積(203)。
在圖5C中,方塊449及450之實施係如同關於圖5B之上述內容。在方塊450之後,根據在方塊450中所獲得之抑制輪廓而實施選擇性沉積(203)。在選擇性沉積之後,使基板暴露至如上所述之去抑制處理(458)。根據在方塊458中所獲得之抑制輪廓而實施另一選擇性鎢沉積(203)。在某些實施例中,方塊458可移除抑制效應,俾使在方塊203中之沉積並非對於特徵部之特定區域是優選的或選擇性的。
在圖5B中所示之處理可用於減少遍及基板上之所有待填充特徵部之抑制效應。圖5C所示之處理容許,在減少在部分填充特徵部中之抑制效應之前,某些特徵部(例如,窄或高深寬比或其它具挑戰性的特徵部)之完全填充。
圖4G為圖表,比較各種去抑制處理,處理A為對照組(沉積-沒有抑制)。第一沉積(沉積1)、第二沉積(沉積2)及抑制處理(用於處理B-E)是相同的,只改變潛在的去抑制處理。處理條件係提供於以下的表3中。 表3:抑制及去抑制處理
Figure 105115121-A0304-0003
參考圖4G可發現,矽烷浸泡(處理C)之去抑制係小於其餘的處理,若存在的話。相較於對照組處理A,處理B(60 秒二硼烷浸泡)及E(6二硼烷∕鎢前驅物脈衝循環)具有相同的沉積厚度,表示這些處理完全地消除了抑制效應。處理D(2二硼烷∕鎢前驅物脈衝循環)產生明顯的去抑制。
圖4H顯示電阻率與藉由表3中所示之處理其中每一者所沉積之鎢膜之厚度之函數。明顯地,產生明顯的去抑制及鎢沉積之處理D產生低的電阻率。以下的表4顯示由處理A、B、及E所沉積之150埃及600埃膜之應力(GPa)。 表4:由各種處理所沉積之膜之應力
Figure 105115121-A0304-0004
如表4所示,處理E產生之膜具有最低應力。
如上所述,本揭露內容之態樣可用於VNAND字元線(WL)之填充。雖然以下之討論提供了各種方法之架構,但該等方法並非如此受限,並且可實現於其它應用中,包含邏輯及記憶體接觸窗填充、DRAM埋入式字元線填充、垂直整合式記憶閘極∕字元線填充、及3D整合(TSV)。
上述之圖1F提供待填充之VNAND字元線(WL)結構之範例。如以上之討論,這些結構之特徵部填充可能存在數個挑戰,包含因支柱之存在而造成之收縮部。此外,高特徵部密度可能導致負載效應,因而在完全填充之前耗盡反應物。
以下描述在字元線中之無空隙填充之各種方法。在某些實施例中,沉積低電阻率鎢。圖5D顯示一序列,其中非保形選擇性抑制係用於在夾止之前填充特徵部之內部。在圖5D中,結構500具有襯墊層表面502。襯墊層表面502可為,例如,TiN或WN。接著,W成核層504保形地沉積於襯墊層502上。可使用如上所述之PNL處理。應當注意,在某些實施例中,可省略沉積保形成核層之操作。接著,使該結構暴露至抑制化學品,以選擇性地抑制結構500之部份506。在此範例中,通過支柱收縮部151之部份506受到選擇性地抑制。抑制可涉及,例如,暴露至由一氣體(例如N2 、H2 、形成氣體、NH3 、O2 、CH4 等)所產生之直接(原位)電漿。使特徵部暴露至抑制物種之其它方法係描述於上。接著,實施CVD處理以根據抑制輪廓而選擇性地沉積鎢:主體鎢510係優先沉積於成核層504之非受抑制部分上,俾使在收縮部後之難以填充的區域得以填充。特徵部之其餘部分接著以主體鎢510加以填充。如參考圖2A之上述內容,用以選擇性地沉積鎢之相同CVD處理可用於特徵部之其餘部分,或可採用使用不同的化學品或處理條件及∕或於沉積成核層後實施之不同CVD處理。
在某些實施例中,本文中所述之方法可用於鎢介層窗填充。圖6顯示包含下層113之特徵部孔105之範例,下層113可為,例如,金屬氮化物或其它阻障層。藉由,例如,PNL及∕或CVD方法,將鎢層653保形地沉積於特徵部孔105中。(應當注意,雖然在圖6之範例中,鎢層653係保形地沉積於特徵部孔105中,但在一些其它實施例中,在鎢層653之選擇性沉積之前,可選擇性地抑制鎢成核在下層113上。)在鎢層653上之進一步沉積係接著受到選擇性抑制,形成鎢層653之受抑制部分655於靠近特徵部開口處。接著,根據抑制輪廓,藉由PNL及∕或CVD方法以選擇性地沉積鎢,俾使鎢優先地沉積於靠近特徵部之底部及中間部份處。在某些實施例中,以一或更多選擇性抑制循環繼續進行沉積,直到使特徵部填充完成。如上所述,在某些實施例中,特徵部頂部之抑制效果可藉由足夠長的沉積時間而克服,而在某些實施例中,一旦期望沉積於特徵部開口處,便可實施額外的成核層沉積或其它處理,以減少或移除在該處之鈍化。應當注意,在某些實施例中,特徵部填充可能仍包含接縫之形成,例如圖6中描繪之接縫657。在其它實施例中,特徵部填充可為無空隙及無接縫。即使接縫存在,其可能比依照習知填充之特徵部所得之接縫更小,因而減少在CMP期間之去核問題。在圖6之範例中繪示之序列在CMP後結束,具有相當小的空隙。
在某些實施例中,即使對於不具有收縮部或可能的夾止點之特徵部而言,仍可有利地使用本文中所述之處理。例如,本文中所述之處理可用於特徵部之由下而上填充,而非保形填充。圖7繪示藉由根據某些實施例之方法以填充特徵部700之序列。最初沉積保形鎢薄層753,接著藉由選擇性抑制以形成受抑制部分755,而特徵部底部之層753未受處理。CVD沉積導致主體膜757沉積於特徵部之底部上。接著為選擇性CVD沉積及選擇性抑制之重複循環,直到以主體膜757填滿特徵部。由於除了靠近特徵部底部以外,特徵部側壁上之成核受到抑制,因此填充為由下而上。在某些實施例中,可將不同的參數使用於連續的抑制,以在特徵部底部生長而靠近特徵部開口時,適當地調整抑制輪廓。例如,在連續的抑制處理中,可降低偏壓功率及∕或處理時間。
根據各種實施例,本文中所述之抑制處理可為一段式或多段式處理。多段式處理可使用數個短階段而非一個較長階段。一階段可由來源功率、偏壓功率、處理氣體流率或腔室壓力其中之一或更多者所定義,並且具有在階段之間之間隔。每一階段可具有相同或不同的來源功率、偏壓功率、處理氣體流率、腔室壓力、及階段持續時間。此外,接續的間隔之持續時間可相同或不同。圖8顯示多段式抑制處理之來源功率及偏壓功率之範例。在圖8之範例中,階段801、803及805被吹淨(purge)所分隔。在每一階段期間,電漿來源功率及基板偏壓功率是打開的,而在階段之間之間隔期間,電漿來源功率及基板偏壓功率是關閉的。如以下之進一步討論,在抑制處理期間關閉電漿及∕或偏壓(如圖8所示)可減少濺射及去抑制。
圖8顯示多段式處理之範例。階段801、803及805被間隔所分隔,在間隔中處理不發生。在間隔期間,可停止來源功率、偏壓功率及處理氣體流量其中一或多者。在圖8之範例中,脈衝式地打開及關閉來源功率及偏壓功率,在處理期間打開而在間隔期間關閉。每一階段之來源功率、偏壓功率、處理時間、壓力、及氣體流量可相同或不同。在某些實施例中,間隔可包含吹淨。在功率或氣體流量之打開∕關閉脈衝之外或取代功率或氣體流量之打開∕關閉脈衝,功率或氣體可在間隔期間減少以及在階段期間增加。在某些實施例中,在間隔期間處理不發生。
相較於一段式處理,多段式處理可減少濺射及去抑制。在某些實施例中,一階段之時間為使得電漿物種能夠選擇性地抑制結構之部分(如上所述),而該階段在處理物種產生足以濺射之能量之前結束。對於3-D結構而言,每一階段之時間可為使得物種為垂直移動而非橫向移動。多段式處理之使用可偕同使用遠端、以自由基為基礎的電漿以及原位含離子電漿之處理。在某些實施例中,可在間隔期間將自由基及其它物種吹出。如上所述之去抑制處理可在多段式抑制處理之前、期間或之後加以實施。在某些實施例中,多段式抑制處理之實施沒有去抑制處理實施於多段式抑制處理期間,而具有第二或接續階段直接實施於先前階段或先前間隔之後。在間隔中,除了來源功率及氣體流率其中一或多者被關閉或減少之外,處理條件可維持與在階段期間相同。
多段式處理之每一階段可設計為具有不同的來源功率、偏壓功率、時間、流量及壓力,以調整抑制輪廓。在一範例中,偏壓功率可為: 階段1:0瓦特(W)、5秒(5s) 階段2:100 W、5 s 階段3:100 W、5 s 在某些實施例中,多段式處理可包含依序實施之多個階段,其間沒有間隔。上述之參數之一或多者可從一階段至下一階段而加以調節。在某些實施例中,階段可包含以不同氣體加熱或冷卻,以控制抑制輪廓。
圖9顯示3D結構之處理之概要圖式於901、902及903。在901,3-D結構係顯示為遭受沒有偏壓之一段式處理。處理物種流動路徑(如箭頭所示)橫向延伸至特徵部中(見910),其可減少在特徵部中之填充。在902,3-D結構係顯示為遭受具有偏壓之一段式處理。濺射及去抑制可能發生於912。在903,3-D結構係顯示為遭受如圖8所示之多段式處理。該處理大部分在垂直方向而沒有濺射。
在一段式或多段式處理中,可使用脈衝式電漿。來源電漿功率及偏壓功率之一或兩者可以脈衝式提供。若兩者為脈衝式提供,它們可能或可能不具有相同頻率及工作週期。在相同或其它實施例中,處理氣體可以脈衝式提供。在使用脈衝式電漿(亦即,在每一階段中電漿係以脈衝式提供)之多段式處理中,在每一階段中,從階段至階段之壓力、流動、來源及偏壓功率、時間、頻率及負載可為相同或不同。此外,脈衝式電漿可實行於如上所述之抑制調節處理中。
根據各種實施例,可能實施蝕刻而與抑制處理及選擇性沉積結合。例如,處理序列可包含沉積(沉積1)-蝕刻-抑制-沉積(沉積2)。在第一鎢沉積後,可蝕刻在特徵部中之鎢,以形成有助於抑制之輪廓∕厚度。例如,如上所述,較薄的鎢膜產生較多的抑制。據此,沉積1-蝕刻-抑制-沉積2序列可用於支援進一步的填充改善及整體膜厚控制。可優先蝕刻在特徵部中之所選位置(例如,在3D結構中之場區或側壁),以增加在該等區域之抑制作用。在相同或其它實施例中,在沉積後可實施蝕刻,以改善均勻性、晶圓翹曲、及膜厚控制。在一範例中,處理序列可包含沉積1-抑制-沉積2-蝕刻或沉積1-蝕刻-抑制-沉積2-蝕刻。蝕刻之實施可在抑制腔室中或在另一腔室中。可實施之蝕刻處理之範例係描述於美國專利第8,119,527號、第8,835,317號及第9,034,768號,其併入於本文中做為參考。
在某些實施例中,本文中所述之抑制處理可用於改善利用低應力膜之特徵部填充。典型的低應力膜受到填充劣化之苦。本文中所述之抑制處理可用於改善在這樣的例子中之填充。在這樣的例子中,小空隙是可接受的,只要能適當地控制應力及其它引起缺陷的特性。
在某些實施例中,在鎢沉積之後可實施高溫退火,以容許氟擴散出去。例如,在WL結構中,在WL之開口被夾止之前,可實施高溫退火。在某些實施例中,在退火之後可實施抑制。
根據各種實施例,可調整抑制後之沉積(“沉積2")以改善均勻性。在某些實施例中,在這樣的沉積期間,可加入非反應性(例如,Ar)背側流動。不受任何特定理論所限,應當了解,背側氣體可藉由減少在基板邊緣處之沉積而改善均勻性。在某些實施例中,在沉積2步驟中,在基板中心及邊緣上之鎢前驅物流動及壓力調節有助於改善抑制堆疊膜之均勻性。鎢生長延遲時間對於鎢前驅物流動及壓力是敏感的。在某些實施例中,藉由清潔邊緣環或摒棄在邊緣環上之鎢預塗層,可改善均勻性。應當了解,HF或鎢沉積之其它產物可能在晶圓邊緣處產生去抑制作用。摒棄預塗層或清潔邊緣環可避免在邊緣環上之鎢CVD及減少此效應。 實驗
在沉積初始鎢晶種層後,使類似圖1F之示意圖之3D VNAND特徵部暴露至由N2 H2 氣體所產生之電漿。基板之偏壓為DC偏壓,偏壓功率在100 W至700 W之間變化,且暴露時間在20秒至200秒之間變化。較長的時間導致更深且更寬的抑制,而較高的偏壓功率導致更深的抑制。
表E1顯示處理時間之效應。所有的抑制處理均使用暴露至直接LFRF 2000 W 之N2 H2 電漿,而基板上之DC偏壓為100 W。 表E1:處理時間對抑制輪廓之效應
Figure 105115121-A0304-0005
雖然不同處理時間導致如表1所述之抑制輪廓之垂直及橫向調整(分割C),但不同的偏壓功率與抑制輪廓之垂直調整之相關性較高,而橫向變化則為次要之效應。
如上所述,抑制效應可藉由某些CVD條件加以克服,包含更長的CVD時間及∕或更高的溫度、更強力的化學品等等。下方之表E2顯示CVD時間對於選擇性沉積之效應。
表E2:CVD時間對於選擇性沉積之效應
Figure 105115121-A0304-0006
以沒有偏壓抑制及200 W偏壓抑制處理來實施一段式及多段式抑制處理。使用之處理條件如下: 沉積1:100 埃於100 °C 處理:20 sccm N2 ,100 sccm Ar,500 W LF RF 原位電漿 沉積2:300秒於350 °C 圖10A顯示無偏壓抑制比較之結果。總抑制處理時間為6秒及14秒。對於多段式比較而言,6秒處理係以三個2秒處理加以實施,14秒處理係以七個2秒處理加以實施。多段式處理比一段式處理造成更多延遲(更大的抑制作用)。此可能是因為降低了濺射去抑制效應。圖10B顯示偏壓比較之結果。總抑制處理時間為6秒、10秒及14秒,多段式處理係以2秒階段加以實施。相較於無偏壓結果,多段式偏壓抑制處理呈現出比一段式更大的效應。
圖11A顯示前側及背側氣體調整對於均勻性之結果。 處理A:前側:19000 sccm H2 ∕ 背側:13000 sccm H2 ,無Ar,26.8 % NU 處理B:前側:25000 sccm H2 ∕ 背側:4000 sccm H2 ,2000 sccm Ar,2.4 % NU 設備
可使用任何合適的腔室以實現此新穎方法。沉積設備之範例包含各種系統,例如,位於San Jose, California之Novellus Systems, Inc.之ALTUS 及ALTUS Max,或各種其它商業上可取得之處理系統之任何一者。
圖11B顯示根據某些實施例之設備1100之概要圖,設備1100用以處理部份加工的半導體基板。設備1100包含腔室1118,其具有基座1120、噴淋頭1114、及原位電漿產生器1116。設備1100亦包含系統控制器1122,以接收輸入及∕或提供控制訊號至各種裝置。
在某些實施例中,可將抑制氣體及,若存在的話,惰性氣體(如氬氣、氦氣及其它氣體)從來源1102供應至遠端電漿產生器1106,來源1102可為一儲存槽。任何合適的遠端電漿產生器可用於在使蝕刻劑引入至腔室1118內之前將其活化。例如,可使用MKS Instruments of Andover, Massachusetts之遠端電漿清潔(RPC)單元,例如ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645。RPC單元通常為一獨立的設備,其係使用供應的蝕刻劑而產生弱離子化電漿。嵌入至RPC單元之高功率RF產生器提供能量至電漿中之電子。此能量接著被轉移至中性抑制氣體分子,導致2000K等級之溫度,造成這些分子之熱解離。由於RPC單元之高RF能量及其特別的通道幾何形狀,導致氣體吸附大部分之能量,因此RPC單元可解離60% 以上之輸入分子。
在某些實施例中,抑制氣體從遠端電漿產生器1106經由連接線1108流至腔室1118中,在該腔室1118中混合物係透過噴淋頭1114而分佈。在其它實施例中,抑制氣體係直接流至腔室1118中,完全繞開遠端電漿產生器1106(例如,設備1100不包含此種產生器)。可選擇地,例如當抑制氣體流至腔室1118中時,可關閉遠端電漿產生器 1106,因為抑制氣體之活化並非必要,或將由原位電漿產生器供應。在某些實施例中,惰性氣體1112可在混合缽1110中混合。
噴淋頭1114或基座1120通常可具有連接至其之內部電漿產生器1116。在一範例中,產生器1116可為能夠在介於1 MHz及100 MHz之頻率間提供介於約0 W及10,000 W之高頻(HF)產生器。在另一範例中,產生器1116為能夠在低如約100 KHz之頻率提供介於約0 W及10,000 W之低頻(LF)產生器。在一更具體實施例中,高頻產生器可在約13.56 MHz傳送介於約0 W到5,000 W之間。射頻產生器 1116可產生原位電漿以活化抑制物種。在某些實施例中,射頻產生器1116可連同遠端電漿產生器1106使用或不使用。在某些實施例中,在沉積期間不使用電漿產生器。
腔室1118可包含感測器1124,用於感測各種處理參數,例如沉積程度、濃度、壓力、溫度等等。在處理期間,感測器 1124可提供腔室條件之資訊至系統控制器1122。感測器 1124之範例包含質量流量控制器、壓力感測器、熱電偶等等。感測器 1124亦可包含紅外線偵測器或光學偵測器,用以監控在腔室及控制措施內之氣體之存在。
沉積及選擇性抑制操作可產生各種從腔室1118排出之揮發性物種。再者,處理係於腔室1118內於特定之預定壓力水平下進行。這兩種功能係利用真空出口1126而達成,真空出口1126可為真空泵。
在某些實施例中,系統控制器1122係用以控制處理參數。系統控制器1122通常包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及∕或數位輸入∕輸出連接端、歩進式馬達控制板等等。通常具有與系統控制器 1122相連之使用者介面。使用者介面可包含顯示螢幕、設備及∕或處理條件之圖形軟體顯示器、以及使用者輸入裝置(例如,指向裝置、鍵盤、觸控螢幕、麥克風等等)。
在某些實施例中,系統控制器1122控制基板溫度、抑制氣體流率、遠端電漿產生器1106及∕或原位電漿產生器1116之功率輸出、在腔室1118內之壓力及其它處理參數。系統控制器 1122執行系統控制軟體,系統控制軟體包含複數組指令,用於控制特定處理之時序、氣體之混合物、腔室壓力、腔室溫度、及其它參數。在某些實施例中,可採用儲存在與控制器相連之記憶體裝置上之其它電腦程式。
用以控制處理序列中之複數處理之電腦程式碼可以任何習知的電腦可讀程式語言加以撰寫:例如,組合語言、C、C + +、Pascal、Fortran、或其它。編譯後之目標碼或腳本係藉由處理器加以執行,以執行程式中所識別之任務。系統軟體可以許多不同的方式設計或配置。例如,可撰寫各種腔室元件之次常式或控制物件,以控制進行所述處理之必要腔室元件之操作。用於此目的之程式或程式區段之範例包含處理氣體控制碼、壓力控制碼、以及電漿控制碼。
控制器參數與處理條件相關,例如每個操作之時序、在腔室內之壓力、基板溫度、抑制氣體流率等等。這些參數係以配方之形式提供給使用者,並可利用使用者介面加以輸入。用以監控處理之訊號可由與系統控制器 1122之類比及∕或數位輸入連接端所提供。用以控制處理之訊號係輸出至設備1100之類比及數位輸出連接端上。系統控制器(例如,系統控制器1122)將進一步描述於下。 多站設備
圖12A顯示多站設備1200之一範例。設備1200包含處理腔室1201及一或更多卡匣1203(例如,前開式晶圓傳送盒),卡匣1203係用於固持待處理之基板以及已經完成處理之基板。腔室1201可具有數個站,例如兩個站、三個站、四個站、五個站、六個站、七個站、八個站、十個站或其它任何數量之站。站之數量通常由處理操作之複雜度及可在一共用環境下執行之此等操作之數量而定。圖12A繪示之處理腔室1201包含六個站,標記為1211至1216。在具有單一處理腔室1201之多站設備1200中之所有站係暴露至相同壓力環境下。然而,每站可具有一指定反應物分配系統以及由專屬電漿產生器及基座(如圖11B中所繪示者)實現之局部電漿及加熱條件。
待處理之基板自該等卡匣1203其中一者通過負載鎖室1205而載入至站1211中。外部機械臂1207可用以將基板自卡匣1203轉移至負載鎖室1205中。在所繪實施例中,具有兩個獨立負載鎖室1205。其通常配備有基板轉移裝置,以將基板自負載鎖室1205移動(一旦壓力係平衡於對應於處理腔室1201之內部環境之水平時)至站1211中,並且將基板從站1216移回至負載鎖室1205中,以自處理腔室1201移除。機械裝置1209係用以在處理站1211至1216之間轉移基板,並在下述之處理期間支撐一些基板。
在某些實施例中,可保留一或更多站以加熱基板。這樣的站可具有設置於基板之上之加熱燈(未顯示)及∕或類似於圖11B所繪示之支撐該基板之加熱基座。例如,站1211可接收來自負載鎖室之基板,並用以在進一步處理該基板之前預熱該基板。其它站可用於填充高深寬比之特徵部,包含沉積及選擇性抑制操作。
在基板於站1211受到加熱或另外的處理之後,將基板相繼地移動至處理站1212、1213、1214、1215及1216,其可為或可不為依續配置。多站設備1200可配置為俾使所有站係暴露至相同的壓力環境下。如此一來,基板係自站1211轉移至腔室1201中之其它站,而無須轉移埠(例如,負載鎖室)。
在某些實施例中,一或更多站可用於使用含鎢材料填充特徵部。例如,站1212可用於初始沉積操作,站1213可用於對應的選擇性抑制操作。在重複著沉積-抑制循環之實施例中,站1214可用於另一沉積操作且站1215可用於另一抑制操作。站1216可用於最後的填充操作。應當理解,可使用針對特定處理(加熱、填充、及移除)之站所指定之任何配置。在某些實行例中,該等站之任何一者可為專用於PNL(或ALD)沉積、選擇性抑制、及CVD沉積之一或更多者。
做為上述之多站設備之替代方法,本方法可在單一基板腔室或在批次模式下(即,非連續)在單一處理站處理一基板或複數基板之多站腔室中實施。在本發明之此態樣中,將基板載入腔室中,並設置在單一處理站之基座上(不管是僅具有一處理站之設備,或具有以批次模式運作之多站設備)。接著可加熱基板並且進行沉積操作。接著可調整腔室內之處理條件,並接著進行已沉積層之選擇性抑制。該處理可以一或更多沉積-抑制循環(若執行的話) 繼續進行,且最終的填充操作皆於相同之站上執行。可替代地,可首先使用單站設備以在數個基板上執行新方法(例如,沉積、選擇性抑制、最終填充)中之複數操作其中僅僅一者,其後基板可返回到相同的站或移動至不同站(例如,不同設備之站),以執行其餘操作之一或更多者。 多腔室 設備
圖12B為可根據某些實施例而使用之多腔室設備1220之概要圖。如圖所示,設備1220具有三個獨立腔室1221、1223、及1225。該等腔室其中每一者係繪示為具有兩個基座。應當理解,一設備可能具有任何數目之腔室(例如,一個、兩個、三個、四個、五個、六個等),且每個腔室可具有任何數目之基座(例如,一個、兩個、三個、四個、五個、六個等)。每個腔室 1221-1225具有其自己的壓力環境,不與其它腔室共用。每個腔室可具有一或更多對應的轉移埠(例如負載鎖室)。設備亦可具有共用基板處理機械臂1227,用於將基板轉移於轉移埠與一或更多卡匣 12212之間。
如上所述,獨立的腔室可用於沉積含鎢材料、以及在後續操作中選擇性抑制該等沉積材料。將此兩種操作分別於不同腔室中進行,可藉由在每個腔室中維持相同的環境條件,而有助於實質上改善處理速度。腔室無需改變其環境,以從用於沉積之條件變成用於選擇性抑制之條件及回復,其可能涉及不同的化學品、不同溫度、壓力、及其它處理參數。在某些實施例中,在兩個或更多不同的腔室之間轉移部分加工的半導體基板,比改變該等腔室之環境條件更快。
在某些實行例中,控制器為系統之一部分,其可為上述範例之一部分。這樣的系統可包含半導體處理設備,包含一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理元件(晶圓基座、氣體流動系統等等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可被稱為“控制器",該控制器可控制一系統或複數系統之各種元件或子部分。根據處理需求及∕或系統類型,可將控制器程式化以控制本文中所揭露之任何處理,包含功率、強度、及暴露時間。在一整合式工具中,控制器亦可控制處理,例如處理氣體、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳輸設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或負載鎖室。
廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包含儲存程式指令之韌體形式之晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。系統軟體可以許多不同的方式加以設計或配置。例如,可撰寫各種腔室元件次常式或控制物件,以控制用於實行本發明之處理所需之腔室元件之操作。用於此目的之程式或程式區段之範例包含基板定位碼、處理化合物控制碼、壓力控制碼、加熱器控制碼、以及RF控制碼。在一實施例中,控制器包含用以實施根據上述方法之所揭露實施例之處理之指令。用以控制處理之電腦程式碼可以任何習知的電腦可讀程式語言加以撰寫:例如,組合語言、C、C + +、Pascal、Fortran、或其它。編譯的目的碼或腳本係由處理器實行以執行在程式中所確認之任務。
程式指令可為以各種單獨設定(或程式檔案)之形式傳遞至控制器之指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理之操作參數。在某些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在某些實行例中,控制器可為電腦之一部分或耦接至電腦,電腦與系統整合、耦接至系統、以其它方式網路連接至系統、或其組合。例如,控制器可在“雲端"中、或在允許晶圓處理之遠端控制之工廠主機電腦系統之全部或一部分中。電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設置在當前處理之後之處理步驟、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至系統。在某些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包含以網路連接在一起並朝著共同目標(例如本文中所述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是在腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路通訊相結合,以控制腔室中之處理。
非限制性地,示例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於欲由工具所執行之處理步驟,控制器可與下列之一或多者通訊:其它工具電路或模組、其它工具元件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。可能具有與控制器相連之使用者介面。使用者介面可包含顯示螢幕、設備及∕或處理條件之圖形軟體顯示器、以及使用者輸入裝置(例如,指向裝置、鍵盤、觸控螢幕、麥克風等等)。 圖案化方法∕設備
本文中所描述之設備∕處理可結合微影圖案化工具或處理而使用,例如,用於半導體元件、顯示器、LED、太陽光電板等之製造或生產。通常,雖然不必然,這樣的工具∕處理將一起使用或執行於共同的製造設施內。膜之微影圖案化通常包含下列步驟之一些或全部,每個步驟以幾個可能的工具提供:(1)工作件(亦即基板)上光阻之塗佈,使用旋轉式或噴塗式之工具;(2)光阻之固化,使用加熱板或加熱爐或UV固化工具;(3)以工具(例如晶圓步進機)使光阻暴露至可見光或UV光或x射線光;(4)使光阻顯影以便使用工具(例如溼式清洗台)選擇性地移除光阻並從而使其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案至下方膜或工作件中;及(6)使用工具(例如RF或微波電漿光阻剝除器)移除光阻。
101‧‧‧垂直特徵部 103‧‧‧基板 105‧‧‧特徵部孔 109‧‧‧收縮部 112‧‧‧收縮部 113‧‧‧下層 115‧‧‧懸伸部 125‧‧‧支柱 127‧‧‧區域 148‧‧‧VNAND結構 150‧‧‧字元線 151‧‧‧收縮部 201‧‧‧步驟 203‧‧‧步驟 205‧‧‧步驟 301‧‧‧步驟 350‧‧‧步驟 352‧‧‧步驟 354‧‧‧步驟 356‧‧‧步驟 401‧‧‧步驟 449‧‧‧步驟 450‧‧‧步驟 452‧‧‧步驟 454‧‧‧步驟 456‧‧‧步驟 458‧‧‧步驟 460‧‧‧步驟 501‧‧‧步驟 503‧‧‧步驟 505‧‧‧步驟 507‧‧‧步驟 500‧‧‧結構 502‧‧‧襯墊層 504‧‧‧成核層 506‧‧‧部份 508‧‧‧部份 510‧‧‧主體鎢 653‧‧‧鎢層 655‧‧‧受抑制部分 657‧‧‧接縫 700‧‧‧特徵部 753‧‧‧保形鎢薄層 755‧‧‧受抑制部分 757‧‧‧主體膜 801‧‧‧階段 803‧‧‧階段 805‧‧‧階段 901‧‧‧結構 902‧‧‧結構 903‧‧‧結構 910‧‧‧處理物種流動路徑 912‧‧‧位置 1100‧‧‧設備 1102‧‧‧來源 1106‧‧‧遠端電漿產生器 1108‧‧‧連接線 1110‧‧‧混合缽 1112‧‧‧惰性氣體 1114‧‧‧噴淋頭 1116‧‧‧原位電漿產生器 1118‧‧‧腔室 1120‧‧‧基座 1122‧‧‧系統控制器 1124‧‧‧感測器 1126‧‧‧真空出口 1200‧‧‧多站設備 1201‧‧‧處理腔室 1203‧‧‧卡匣 1205‧‧‧負載鎖室 1207‧‧‧外部機械臂 1209‧‧‧機械裝置 1211‧‧‧站 1212‧‧‧站 1213‧‧‧站 1214‧‧‧站 1215‧‧‧站 1216‧‧‧站 1220‧‧‧多腔室設備 1221‧‧‧腔室 1223‧‧‧腔室 1225‧‧‧腔室 1227‧‧‧機械臂 12212‧‧‧卡匣
圖1A-1G顯示可根據本文中所述之處理加以填充之各種結構之範例。
圖2A-2C為處理流程圖,說明在利用鎢填充特徵部之方法中之某些操作。
圖2D為圖表,顯示生長延遲時間(在抑制之後)與在抑制處理之前所沉積之鎢層之厚度之函數。
圖3A-3C為處理流程圖,說明在選擇性抑制之方法中之某些操作。
圖3D為圖表,顯示抑制調節與抑制前暴露至空氣持續時間之函數。
圖4A-4E為處理流程圖,說明在使基板暴露至以氮為基礎的(nitrogen-based)電漿或其它抑制化學品之後且在鎢沉積之前之處理基板之某些操作。
圖4F為圖表,顯示抑制調節與抑制後退火持續時間之函數。
圖4G為圖表,比較各種去抑制處理之效果,處理A為對照組(沉積沒有抑制)。
圖4H顯示電阻率(resistivity)與藉由圖4G之處理其中每一者所沉積之鎢膜之厚度之函數。
圖5A-5C為處理流程圖,說明在包含去抑制操作之鎢特徵部填充之方法中之某些操作。
圖5D、6及7為概要圖,顯示在不同特徵部填充階段之特徵部。
圖8顯示多段式抑制處理之來源功率及偏壓功率之範例。
圖9顯示3D結構之一段式及多段式處理之概要圖式。
圖10A顯示一段式及多段式抑制處理之成核延遲時間與抑制處理時間之函數,其中沒有偏壓施加至基板。
圖10B顯示一段式及多段式抑制處理之成核延遲時間與抑制處理時間之函數,其中將200 W之偏壓功率施加至基板。
圖11A顯示前側及背側氣體調整對於均勻性之結果。
圖11B、12A及12B為概要圖,顯示適合用於實施本文中所述之方法之設備之範例。
901‧‧‧結構
902‧‧‧結構
903‧‧‧結構
910‧‧‧處理物種流動路徑
912‧‧‧位置

Claims (25)

  1. 一種用於填充特徵部的方法,包含:提供一基板,該基板包含一特徵部,該特徵部具有一或更多特徵部開口及一特徵部內部;及實施一多段式抑制處理,包含在複數階段及複數間隔中使該特徵部暴露至一處理氣體或由一處理氣體所產生之一電漿,其中連續的階段係由該複數間隔之其中一者所分隔,其中使電漿來源功率、基板偏壓或處理氣體流率其中一或多者在每一間隔開始時減少、且在每一間隔結束時增加,及其中該抑制處理優先地抑制在該等特徵部開口處之一金屬之成核。
  2. 如申請專利範圍第1項之用於填充特徵部的方法,其中該多段式抑制處理包含,當施加一偏壓至該基板時,使該特徵部暴露至一直接電漿。
  3. 如申請專利範圍第1項之用於填充特徵部的方法,其中在該特徵部中之該多段式抑制處理包含,使該特徵部暴露至一遠端產生電漿。
  4. 如申請專利範圍第2或3項之用於填充特徵部的方法,其中該電漿包含氮、氫、氧及碳活化物種其中一或更多者。
  5. 如申請專利範圍第2或3項之用於填充特徵部的方法,其中該電漿係以氮為基礎的或以氫為基礎的。
  6. 如申請專利範圍第1項之用於填充特徵部的方法,更包含:在該多段式抑制處理之前,沉積一鎢層在該特徵部中。
  7. 如申請專利範圍第1項之用於填充特徵部的方法,更包含:在該多段式抑制處理之後,根據由該多段式抑制處理所形成之一抑制輪廓,選擇性地沉積鎢在該特徵部中。
  8. 如申請專利範圍第1項之用於填充特徵部的方法,其中該多段式抑制處理包含,處理該特徵部之一金屬氮化物表面。
  9. 如申請專利範圍第1項之用於填充特徵部的方法,其中該多段式抑制處理係在未蝕刻該特徵部中之材料的情況下實施。
  10. 如申請專利範圍第1項之用於填充特徵部的方法,其中該特徵部填充係在未蝕刻該特徵部中之材料的情況下實施。
  11. 如申請專利範圍第1項之用於填充特徵部的方法,其中該特徵部為3-D結構之部分。
  12. 如申請專利範圍第7項之用於填充特徵部的方法,更包含:使該多段式抑制處理及選擇性沉積之循環重複一或更多次,以填滿該特徵部。
  13. 如申請專利範圍第1項之用於填充特徵部的方法,其中在該特徵部中之至少一收縮部係優先受到抑制。
  14. 如申請專利範圍第1項之用於填充特徵部的方法,其中在該多段式抑制處理之第一階段期間與在該多段式抑制處理之第二階段期間之電漿來源功率、基板偏壓功率、處理氣體流量及腔室壓力其中一者係不同的。
  15. 如申請專利範圍第1項之用於填充特徵部的方法,其中使該處理氣體減少,且使該處理氣體減少之步驟包含關閉該處理氣體之流量。
  16. 如申請專利範圍第1項之用於填充特徵部的方法,其中該多段式抑制處理為一非電漿抑制處理。
  17. 如申請專利範圍第1項之用於填充特徵部的方法,其中該處理氣體包含一含氮化合物。
  18. 如申請專利範圍第1項之用於填充特徵部的方法,其中該處理氣體為N2
  19. 一種用於填充特徵部的方法,包含:提供一基板,該基板包含一特徵部,該特徵部具有一或更多特徵部開口及一特徵部內部;實施一多段式抑制處理,包含在複數階段及複數間隔中使該特徵部暴露至一處理氣體或由一處理氣體所產生之一電漿,其中連續的階段係由該複數間隔之其中一者所分隔,其中使電漿來源功率、基板偏壓或處理氣體流率其中一或多者在每一間隔開始時減少、且在每一間隔結束時增加,其中該處理抑制在該特徵部中之一金屬之成核且在該特徵部中形成一抑制輪廓;及根據該抑制輪廓,選擇性地沉積鎢在該特徵部中。
  20. 如申請專利範圍第19項之用於填充特徵部的方法,其中該多段式抑制處理包含一電漿處理。
  21. 如申請專利範圍第19項之用於填充特徵部的方法,其中該多段式抑制處理包含,當施加一偏壓至該基板時,使該特徵部暴露至一直接電漿。
  22. 如申請專利範圍第19項之用於填充特徵部的方法,其中該多段式抑制處理包含,使該特徵部暴露至一遠端產生電漿。
  23. 如申請專利範圍第19項之用於填充特徵部的方法,更包含:在該多段式抑制處理之前,沉積一鎢層在該特徵部中。
  24. 如申請專利範圍第19項之用於填充特徵部的方法,更包含:在沉積鎢在該特徵部中之後,沉積鎢在該特徵部中以完成特徵部填充。
  25. 一種用於填充特徵部的設備,包含:一或更多站,各自配置以支撐一基板;氣體入口,配置以將氣體導入該一或更多站之各者中;及一控制器,包含程式指令,該等程式指令用於:在複數階段及複數間隔中使一含氮電漿或NH3氣體進入該一或更多站中,其中連續的階段係由該複數間隔之其中一者所分隔,其中使電漿來源功率、基板偏壓或NH3氣體流率其中一或多者在每一間隔開始時減少、且在每一間隔結束時增加。
TW105115121A 2015-05-18 2016-05-17 包含多段式抑制成核之特徵部塡充 TWI706509B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562163306P 2015-05-18 2015-05-18
US62/163,306 2015-05-18
US15/156,129 US10170320B2 (en) 2015-05-18 2016-05-16 Feature fill with multi-stage nucleation inhibition
US15/156,129 2016-05-16

Publications (2)

Publication Number Publication Date
TW201712804A TW201712804A (zh) 2017-04-01
TWI706509B true TWI706509B (zh) 2020-10-01

Family

ID=57324601

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105115121A TWI706509B (zh) 2015-05-18 2016-05-17 包含多段式抑制成核之特徵部塡充

Country Status (4)

Country Link
US (3) US10170320B2 (zh)
KR (1) KR20160135672A (zh)
CN (2) CN110629187A (zh)
TW (1) TWI706509B (zh)

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102563713B1 (ko) * 2017-04-26 2023-08-07 오티아이 루미오닉스 인크. 표면의 코팅을 패턴화하는 방법 및 패턴화된 코팅을 포함하는 장치
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102414511B1 (ko) 2017-08-02 2022-06-30 삼성전자주식회사 3차원 반도체 소자
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401177B1 (ko) 2017-08-31 2022-05-24 삼성전자주식회사 반도체 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102404056B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20220129105A (ko) * 2018-11-19 2022-09-22 램 리써치 코포레이션 텅스텐을 위한 몰리브덴 템플릿들
CN111244025A (zh) * 2018-11-29 2020-06-05 北京北方华创微电子装备有限公司 填充方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP2022510428A (ja) * 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) * 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11805645B2 (en) * 2019-08-16 2023-10-31 Micron Technology, Inc. Integrated assemblies having rugged material fill, and methods of forming integrated assemblies
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
JP2021150408A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体記憶装置
CN115362531A (zh) * 2020-03-27 2022-11-18 朗姆研究公司 具有成核抑制的特征填充
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2022032210A (ja) * 2020-08-11 2022-02-25 キオクシア株式会社 半導体記憶装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
KR20220108627A (ko) * 2021-01-27 2022-08-03 삼성전자주식회사 열전 소자를 구비한 수직형 비휘발성 메모리 소자, 그 메모리 소자를 구비한 반도체 패키지, 및 그 메모리 소자의 방열 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113725147B (zh) * 2021-09-02 2023-10-10 长鑫存储技术有限公司 半导体结构制作方法及半导体结构
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023205184A1 (en) * 2022-04-19 2023-10-26 Lam Research Corporation Molybdenum integration and void-free fill
WO2023219745A1 (en) * 2022-05-10 2023-11-16 Applied Materials, Inc. Method of forming multi-tier tungsten features
CN115172268A (zh) * 2022-07-04 2022-10-11 中微半导体设备(上海)股份有限公司 一种在高深宽比结构中沉积钨的方法及其半导体基片
US20240035149A1 (en) * 2022-07-29 2024-02-01 Applied Materials, Inc. Bottom up molybdenum gapfill
US20240087955A1 (en) * 2022-09-09 2024-03-14 Applied Materials, Inc. Integrated pvd tungsten liner and seamless cvd tungsten fill

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090149022A1 (en) * 2007-12-05 2009-06-11 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
CN104272440A (zh) * 2012-03-27 2015-01-07 诺发系统公司 用核化抑制的钨特征填充

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
EP0437110B1 (en) 1990-01-08 2001-07-11 Lsi Logic Corporation Structure for filtering process gases for use with a chemical vapour deposition chamber
JPH04142061A (ja) 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JPH05226280A (ja) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JP3216345B2 (ja) * 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
KR200160641Y1 (ko) 1996-08-23 1999-11-15 맹섭 골프백용 등걸이 멜빵
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002016066A (ja) 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
WO2005003033A2 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
KR20080061978A (ko) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8518282B2 (en) 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
WO2010077847A2 (en) 2008-12-31 2010-07-08 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
JP5600351B2 (ja) 2009-08-07 2014-10-01 シグマ−アルドリッチ・カンパニー、エルエルシー 高分子量アルキル−アリルコバルトトリカルボニル錯体及び誘電体薄膜を作製するためのそれらの使用
US8809193B2 (en) 2009-09-02 2014-08-19 Ulvac, Inc. Method for the formation of Co film and method for the formation of Cu interconnection film
US20120294874A1 (en) 2009-11-19 2012-11-22 Paul Anthony Macary Method for Producing T Cell Receptor-Like Monoclonal Antibodies and Uses Thereof
US20130012030A1 (en) 2010-03-17 2013-01-10 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
WO2015057959A1 (en) 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
TWI613845B (zh) * 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090149022A1 (en) * 2007-12-05 2009-06-11 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
CN104272440A (zh) * 2012-03-27 2015-01-07 诺发系统公司 用核化抑制的钨特征填充

Also Published As

Publication number Publication date
CN106169440A (zh) 2016-11-30
US10580654B2 (en) 2020-03-03
KR20160135672A (ko) 2016-11-28
US10170320B2 (en) 2019-01-01
US10916434B2 (en) 2021-02-09
US20160343612A1 (en) 2016-11-24
US20200185225A1 (en) 2020-06-11
TW201712804A (zh) 2017-04-01
CN110629187A (zh) 2019-12-31
US20190080914A1 (en) 2019-03-14
CN106169440B (zh) 2019-07-09

Similar Documents

Publication Publication Date Title
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
US11901227B2 (en) Feature fill with nucleation inhibition
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US10395944B2 (en) Pulsing RF power in etch process to enhance tungsten gapfill performance
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US9548228B2 (en) Void free tungsten fill in different sized features
JP6195898B2 (ja) 核形成の抑制を伴うタングステンによるフィーチャ充填
JP6273257B2 (ja) タングステンによるフィーチャ充填
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition