JP6273257B2 - タングステンによるフィーチャ充填 - Google Patents

タングステンによるフィーチャ充填 Download PDF

Info

Publication number
JP6273257B2
JP6273257B2 JP2015503547A JP2015503547A JP6273257B2 JP 6273257 B2 JP6273257 B2 JP 6273257B2 JP 2015503547 A JP2015503547 A JP 2015503547A JP 2015503547 A JP2015503547 A JP 2015503547A JP 6273257 B2 JP6273257 B2 JP 6273257B2
Authority
JP
Japan
Prior art keywords
feature
tungsten
layer
etching
tungsten layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015503547A
Other languages
English (en)
Other versions
JP2015512568A5 (ja
JP2015512568A (ja
Inventor
チャンドラシェカー・アナンド
ジェン・エスター
フマユン・ラシーナ
ダネク・ミハル
ガオ・ジュウェン
ワン・ドーチィ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2015512568A publication Critical patent/JP2015512568A/ja
Publication of JP2015512568A5 publication Critical patent/JP2015512568A5/ja
Application granted granted Critical
Publication of JP6273257B2 publication Critical patent/JP6273257B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Plasma & Fusion (AREA)
  • Non-Volatile Memory (AREA)

Description

[関連出願の相互参照]
本出願は、2012年3月27日に出願された米国仮特許出願第61/616377号の米国特許法第119条(e)項に基づく優先権の利益を主張するものであり、この文献は、その全体がすべての目的のために本参照により本明細書に組み込まれる。
化学気相成長(CVD)法を用いたタングステン含有材料の堆積は、多くの半導体製造プロセスの欠かせない一部である。このような材料は、水平配線、隣接する金属層間のビア、シリコン基板上の第1の金属層とデバイスとの間のコンタクト、および高アスペクト比のフィーチャに用いられることがある。従来の堆積プロセスでは、成長チェンバ内で基板が所定の処理温度に加熱されて、シード層または核形成層として機能するタングステン含有材料の薄層が堆積される。その後、残りのタングステン含有材(バルク層)が核形成層の上に堆積される。通常、このタングステン含有材は、六フッ化タングステン(WF6)を水素(H2)で還元することにより形成される。タングステン含有材は、フィーチャおよびフィールド領域を含む基板の露出した表面領域全体に堆積される。
タングステン含有材料を、小さく、かつ高アスペクト比のフィーチャ内に堆積させると、充填されたフィーチャの内部でシーム(割れ目)およびボイドが形成されることがある。大きなシームは、高抵抗、コンタミネーション、充填された材料の欠損につながる場合があり、またそれ以外にも、集積回路性能を低下させる場合がある。例えば、充填プロセス後に、シームがフィールド領域の近くまで及んで、その後、化学機械平坦化の際に開口することがある。
本開示で記載する主題の一態様は、タングステンによってフィーチャを充填する方法で実現することができ、該方法は、フィーチャを第1のバルクタングステン層によって充填するために、フィーチャ内にタングステンをコンフォーマルに堆積させることと、エッチングされたタングステン層をフィーチャ内に残すように第1のバルクタングステン層の一部を除去することと、エッチングされたタングステン層の上に第2のバルクタングステン層を選択的に堆積させることと、を含む。いくつかの実現形態によれば、第2のバルクタングステン層によってフィーチャを充填することができ、またはフィーチャ充填を完了させるために1つ以上の追加のタングステン層を選択的もしくはコンフォーマルに堆積させることができる。一部の実現形態では、第2のバルクタングステン層によってフィーチャを部分的に充填し、フィーチャの残り部分は未充填のままとすることができる。
いくつかの実現形態により、第1のバルクタングステン層によってコンフォーマルにフィーチャを充填することは、1つ以上のボイドおよび/またはシームがフィーチャ内に形成されることを許容することを含み得る。シームおよび/またはボイドのうち1つ以上は、堆積されたタングステン層の一部を除去する際に、解消または開口させることが可能である。
第2のバルクタングステン層を選択的に堆積させることは、フィーチャ内に核形成層を形成することなく、エッチングされたタングステン層の上に直接堆積させることを伴い得る。一部の実現形態では、第2のバルクタングステン層における粒成長の方向および/または長さは、第1のバルクタングステン層のそれとは異なる。
いくつかの実現形態により、フィーチャは、基板の平面に対して、垂直向きまたは水平向きであり得る。一部の実現形態では、フィーチャは、1つ以上の狭窄部もしくはオーバハングを含むことができ、さらに/またはリエントラント型プロファイルを有し得る。狭窄部の例として、3D構造におけるピラー狭窄部が含まれる。第1のバルクタングステン層の一部を除去することは、狭窄部もしくはオーバハングを越えてエッチングすることを含み得る。
第1のバルクタングステン層は、誘電体表面上、フィーチャをライニングしている下層上、または先に堆積されたタングステン核形成層もしくはバルクタングステン層上など、フィーチャ表面上に堆積させることができる。下層の例として、チタン(Ti)、窒化チタン(TiN)、窒化タングステン(WN)、フッ素フリータングステン(FFW)、TiAl(チタンアルミナイド)が含まれる。
第1のバルクタングステン層の一部を除去することは、リモート生成プラズマおよび/またはインサイチュ生成プラズマ中で生成された活性種など、プラズマ発生器で生成された活性種に、その層を暴露することを含み得る。使用することができるプラズマ発生器の例として、容量結合プラズマ(CCP)発生器、誘導結合プラズマ(ICP)発生器、トランス結合プラズマ(TCP)発生器、電子サイクロトロン共鳴(ECR)発生器、ヘリコンプラズマ発生器が含まれる。活性種の例として、イオン種、ラジカル種、原子種を含むことができる。一部の実現形態では、本方法は、タングステンを、イオン種が略存在しないラジカル種と原子種に暴露することを含み得る。他の一部の実現形態では、本方法は、タングステンをイオン種に暴露することを含み得る。
一部の実現形態では、フィーチャは、100%を超えるステップカバレッジを有するタングステンによって充填される。一部の実現形態では、第2のバルクタングステン層は、フィーチャに対して非コンフォーマルとすることができる。
本明細書で記載する主題の別の態様は、タングステンによってフィーチャを充填する方法で実現することができ、該方法は、1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部と、フィーチャの長さに沿って延びるフィーチャ軸と、を有するフィーチャを含む基板を準備することと、フィーチャを第1のバルクタングステン層によって充填するために、フィーチャ軸に対して略垂直な粒成長によって、フィーチャ内にタングステンを堆積させることと、エッチングされたタングステン層をフィーチャ内に残すように第1のバルクタングステン層の一部を除去することと、エッチングされたタングステン層の上に、フィーチャ軸に対して略平行な粒成長によって、第2のバルクタングステン層を選択的に堆積させることと、を含む。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、フィーチャを第1のバルクタングステン層によって充填するために、フィーチャ内にタングステンをコンフォーマルに堆積させることと、タングステンの一部が除去された後のエッチングされたタングステン層を上記フィーチャが含む基板を受け取ることと、エッチングされたタングステン層の上に第2のバルクタングステン層を選択的に堆積させることと、を含む。一部の実現形態では、第2のバルクタングステン層は、フィーチャに対して非コンフォーマルとすることができる。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、フィーチャ開口と、フィーチャ側壁と、フィーチャ閉端部と、を有するフィーチャであって、コンフォーマルなバルクタングステン層で充填されて、該コンフォーマルなバルクタングステン層に形成されたボイドおよび/またはシームを含むフィーチャ、を含む基板を受け取ることと、タングステンが実質的にフィーチャ閉端部にのみ残るようにフィーチャ側壁からタングステンを除去することを含んで、コンフォーマルなバルクタングステン層の一部をエッチングすることと、を含む。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、フィーチャ開口と、フィーチャ側壁と、フィーチャ閉端部と、を有するフィーチャであって、コンフォーマルなバルクタングステン層で充填されて、該コンフォーマルなバルクタングステン層に形成されたボイドおよび/またはシームを含むフィーチャ、を含む基板を受け取ることと、タングステンが実質的にフィーチャ内部にのみ残るようにフィーチャ側壁からタングステンを除去することを含んで、コンフォーマルなバルクタングステン層の一部をエッチングすることと、を含む。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部と、を有するフィーチャを含む基板を準備することと、フィーチャ内に第1のバルクタングステン層を堆積させることと、エッチングされたタングステン層を形成するために第1のバルクタングステン層をエッチングすることであって、上記1つ以上のフィーチャ開口からリセス深さまで及ぶフィーチャ内のタングステンを略すべて除去することを含んで、第1のバルクタングステン層をエッチングすることと、フィーチャ内に第2のバルクタングステン層を堆積させることと、を含む。
いくつかの実現形態により、第1のバルク層によって、フィーチャを全体的または部分的に充填することができる。一部の実現形態では、第1のバルク層内には、ボイドまたはシームが形成され得る。一部の実現形態では、第1のバルク層をエッチングすることは、第1のバルク層の少なくとも一領域を横方向にエッチングすることを含む。第2のバルク層は、フィーチャ内に選択的またはコンフォーマルに堆積させることができる。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、フィーチャ内にボロン層をコンフォーマルに堆積させることと、フィーチャ内のボロン層の一部を、残りのボロン層はフィーチャ内にそのまま残して、タングステンに転化させることと、残りのボロン層をエッチングすることなく、タングステンを選択的にエッチングすることと、残りのボロン層をタングステンに転化させることと、を含む。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、フィーチャ内に少なくとも約5nmの厚さを有するボロン層をコンフォーマルに堆積させることと、フィーチャの充填部分が体積膨張を受けるように、フィーチャ内のボロン層の厚さ全体をタングステンに転化させることと、フィーチャを部分的または全体的にタングステンで充填するために、コンフォーマル堆積と転化のオペレーションを1回以上繰り返すことと、含む。
本明細書で記載する主題の別の態様は、方法で実現することができ、該方法は、フィーチャ内にフッ素フリー窒化タングステン層をコンフォーマルに堆積させることと、フッ素フリー窒化タングステン層をフッ素フリータングステン層に転化させることと、を含む。
本明細書で記載する主題のさらに別の態様は、方法で実現することができ、該方法は、ハロゲン含有還元剤を用いてフィーチャ内にタングステン層をコンフォーマルに堆積させることと、ハロゲン含有副生成物を排出させることと、コンフォーマルなタングステン層の上にフッ素フリータングステン含有層を堆積させることと、含む。
さらなる態様を、本明細書に記載の方法のいずれかを実施するように構成された装置で実現することができる。
これらおよび他の態様について、図面を参照して、さらに詳しく説明する。
本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。 本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。 本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。 本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。 本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。 本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。 本明細書に記載のプロセスにより、タングステン含有材料によって充填することが可能な種々の構造の例を示している。
タングステンによってフィーチャをインサイドアウト充填する方法における、いくつかのオペレーションを示すプロセスフロー図である。 タングステンによってフィーチャをインサイドアウト充填する方法における、いくつかのオペレーションを示すプロセスフロー図である。
フィーチャのインサイドアウト充填の様々な段階におけるフィーチャの模式図である。 フィーチャのインサイドアウト充填の様々な段階におけるフィーチャの模式図である。 フィーチャのインサイドアウト充填の様々な段階におけるフィーチャの模式図である。 フィーチャのインサイドアウト充填の様々な段階におけるフィーチャの模式図である。 フィーチャのインサイドアウト充填の様々な段階におけるフィーチャの模式図である。
タングステン(W)、チタン(Ti)、窒化チタン(TiN)について、様々な温度でのエッチング速度およびエッチング選択性を示すグラフである。 タングステン(W)、チタン(Ti)、窒化チタン(TiN)について、様々な温度でのエッチング速度およびエッチング選択性を示すグラフである。 タングステン(W)、チタン(Ti)、窒化チタン(TiN)について、様々な温度でのエッチング速度およびエッチング選択性を示すグラフである。 タングステン(W)、チタン(Ti)、窒化チタン(TiN)について、様々な温度でのエッチング速度およびエッチング選択性を示すグラフである。
リセスエッチングされたタングステン層を含むフィーチャの模式図である。
リセスエッチングの様々な段階におけるフィーチャの模式図である。
リセスエッチングを採用したフィーチャ充填の様々な段階におけるフィーチャの模式図である。
エッチング・コンフォーマル性変調を例示するエッチングの様々な段階におけるフィーチャの模式図である。
いくつかの異なるエッチャント流量の場合のタングステンのエッチング速度をエッチング温度の関数として示すグラフである。
非コンフォーマルエッチングを採用したフィーチャ充填の様々な段階におけるフィーチャの模式図である。
タングステン核形成の選択的抑制を採用したフィーチャ充填の様々な段階におけるフィーチャの模式図である。
高パワーおよび低パワーのエッチングの後に堆積される膜について、バルク層成長の遅延時間を示すグラフである。
タングステンへのボロンの転化を採用したフィーチャ充填の様々な段階におけるフィーチャの模式図である。
タングステンへのボロンの部分的転化を用いてフィーチャを充填する方法における、いくつかのオペレーションを示すプロセスフロー図である。
フッ素フリータングステン含有層を用いてフィーチャを充填する方法における、いくつかのオペレーションを示すプロセスフロー図である。 フッ素フリータングステン含有層を用いてフィーチャを充填する方法における、いくつかのオペレーションを示すプロセスフロー図である。
フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。 フィーチャ充填の様々な段階における3次元垂直NAND(3D VNAND)フィーチャの模式図である。
本明細書に記載の方法を実施するのに適した装置の例を示す模式図である。 本明細書に記載の方法を実施するのに適した装置の例を示す模式図である。 本明細書に記載の方法を実施するのに適した装置の例を示す模式図である。
以下の説明では、本発明についての完全な理解を与えるため、様々な具体的詳細について記載する。本発明は、それら特定の詳細の一部または全てを省いても実施することができる。また、本発明を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。本発明は、具体的な実現形態に関連させて説明されるが、当然のことながら、本発明は、それらの実現形態に限定されるものではない。
本明細書で記載するのは、タングステンによってフィーチャを充填する方法、ならびに関連するシステムおよび装置である。適用例として、ロジックおよびメモリのコンタクト充填、DRAM埋め込みワードライン充填、垂直集積メモリゲート/ワードライン充填、シリコン貫通ビア(TSV:Through−Silicon Via)による3D集積、が含まれる。本明細書に記載の方法は、タングステンビアにおけるような垂直フィーチャ、および垂直NAND(VNAND)ワードラインのような水平フィーチャ、を充填するために用いることができる。本方法は、コンフォーマル充填、およびボトムアップまたはインサイドアウト充填のために用いることができる。
いくつかの実現形態によれば、フィーチャは、幅狭および/またはリエントラント型の開口部、フィーチャ内の狭窄部、高アスペクト比、のうちの1つ以上によって特徴づけられるものであり得る。充填することが可能なフィーチャの例を、図1A〜1Cに示している。図1Aは、タングステンで充填される垂直フィーチャ101の断面図の一例を示している。フィーチャは、基板103内のフィーチャ孔105を含み得る。基板は、例えば、200mmウェハ、300mmウェハ、または450mmウェハであるシリコンウェハとすることができ、その上に堆積された誘電体、導体、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。フィーチャは、これらの層の1つ以上に形成されたものであり得る。例えば、フィーチャは、誘電体層内に少なくとも部分的に形成されたものであり得る。いくつかの実現形態において、フィーチャ孔105は、少なくとも約2:1の、少なくとも約4:1の、少なくとも約6:1の、またはさらに高いアスペクト比を有し得る。さらに、フィーチャ孔105は、開口付近の寸法として、約10nm〜500nmの間の、例えば約25nm〜300nmの間の、例えば開口径またはライン幅を有し得る。フィーチャ孔105は、未充填フィーチャ、または単にフィーチャと呼ぶことができる。このようなフィーチャ101、および任意のフィーチャは、フィーチャの長さにわたって延びる軸118によって部分的に特徴づけることができ、垂直向きのフィーチャは垂直軸を有し、水平向きのフィーチャは水平軸を有する。
図1Bは、リエントラント型プロファイルを有するフィーチャ101の一例を示している。リエントラント型プロファイルは、フィーチャの閉じた底端または内部からフィーチャ開口に向かって窄まるプロファイルである。いくつかの実現形態によれば、プロファイルは、徐々に窄まるもの、および/またはフィーチャ開口にオーバハングを含むものであり得る。図1Bは、後者の一例を示しており、フィーチャ孔105の側壁または内面を下層113によってライニングしている。下層113は、例えば、拡散バリア層、接着層、核形成層、それらの組み合わせ、または他のいずれかの適合材とすることができる。下層の限定されない例として、誘電体層および導電層を含むことができ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層である。具体的な実現形態では、下層は、Ti、TiN、WN、TiAl、Wのうちの1つ以上とすることができる。下層113は、オーバハング115を形成しており、これにより、下層113は、フィーチャ101の開口付近において、フィーチャ101内部よりも厚くなっている。
一部の実現形態では、フィーチャ内に1つ以上の狭窄部を有するフィーチャに充填することができる。図1Cは、狭窄部を有する種々のフィーチャが充填された図の例を示している。図1Cの例(a)、(b)、(c)のそれぞれは、フィーチャ内の中間点に狭窄部109を含んでいる。狭窄部109は、例えば、約15nm〜20nmの間の幅であり得る。従来の手法を用いたフィーチャ内へのタングステン堆積の際に、狭窄部を越えた先の堆積を、フィーチャのその部分が充填される前に、堆積されたタングステンが阻害することで、狭窄部はピンチオフの原因となることがあり、その結果、フィーチャ内にボイドが生じる。例(b)は、さらに、ライナー/バリアのオーバハング115を、フィーチャ開口に含んでいる。このようなオーバハングも、やはりピンチオフ点となる可能性があり得る。例(c)は、例(b)のオーバハング115よりもフィールド領域からさらに離れたところに狭窄部112を含んでいる。さらなる詳細は後述するように、本明細書に記載の方法によって、図1Cに示すようなボイドフリー充填が可能となる。
また、3Dメモリ構造のような水平フィーチャを充填することもできる。図1Dは、狭窄部151を含む水平フィーチャ150の一例を示している。例えば、水平フィーチャ150は、VNAND構造におけるワードラインであり得る。
一部の実現形態では、狭窄部は、VNANDまたは他の構造においてピラーが存在することによるものであり得る。図1Eは、例えば、VNANDまたは垂直集積メモリ(VIM:Vertically Integrated Memory)構造148におけるピラー125の平面図を示しており、図1Fは、ピラー125の概略断面図を示している。図1Eにおける矢印は堆積材料を表しており、ピラー125は、領域127とガス導入口または他の堆積源との間に配置されているので、隣接するピラーは、結果的に、領域127のボイドフリー充填における課題を提示する狭窄部151となり得る。
構造148は、例えば、基板100上に層間誘電体層154と犠牲層(図示せず)とを交互に重ねたスタックを堆積させて、犠牲層を選択的にエッチングすることにより、形成することができる。層間誘電体層は、例えば、シリコン酸化物および/またはシリコン窒化物の層とすることができ、犠牲層は、エッチャントにより選択的にエッチング可能な材料のものとすることができる。これに、続いて、エッチングおよび堆積プロセスによってピラー125を形成することができ、これは、完成したメモリデバイスのチャネル領域を含み得るものである。
基板100の主面は、x方向とy方向に広がり得るものであり、一方、ピラー125は、z方向に向いたものである。図1Eおよび1Fの例では、ピラー125はオフセット状に配列されており、これにより、x方向に直接隣接するピラー125は、y方向に相互にオフセットしており、またその逆も同様である。様々な実現形態により、ピラー(ならびに、隣接するピラーにより対応して形成される狭窄部)は、多くの形式で配置することができる。また、ピラー125は、円形、方形などを含む任意の形状とすることができる。ピラー125は、環状の半導体材または円形(もしくは方形)の半導体材を含み得る。その半導体材をゲート誘電体で取り囲むことができる。層間誘電体層129のそれぞれの間の領域を、タングステンで充填することができ、このように、構造148は、x方向および/またはy方向に延在して充填されるべき、スタックされた複数の水平向きフィーチャを有する。
図1Gは、例えば、ピラー狭窄部151を含むVNANDまたは他の構造の、水平フィーチャの図の別の例を提示している。図1Gの例は、オープンエンドであって、矢印で示すように、堆積される材料が両側から水平方向に流入することが可能である(留意すべきことは、図1Gの例は、構造の3Dフィーチャを2Dレンダリングしたものと見ることができ、図1Gは充填される領域の断面図であって、同図に示すピラー狭窄部は、断面図ではなく平面図で見られるであろう狭窄部を示しているということである)。一部の実現形態では、3D構造は、2次元または3次元(例えば、図1Fの例におけるx、y方向、またはx、y、z方向)に沿って広がる充填領域によって特徴づけることができ、その充填は、1次元または2次元に沿って広がる孔またはトレンチを充填する場合よりも多くの課題を提示し得る。例えば、3D構造の充填を制御することは、堆積ガスが複数の次元からフィーチャに流入し得るため、難しくなることがある。
タングステン含有材料によってフィーチャを充填すると、充填されたフィーチャの内部でボイドおよびシーム(割れ目)が形成される場合がある。ボイドは、充填されないまま残されたフィーチャ領域である。例えば、堆積された材料がフィーチャ内でピンチ点を形成して、反応物質の流入および堆積を阻むように、フィーチャ内の未充填の空間を封鎖すると、ボイドが形成され得る。
ボイドおよびシームの形成を引き起こす可能性のある複数の原因がある。1つは、タングステン含有材料、または、より一般的に、拡散バリア層もしくは核形成層などの他の材料の堆積の際に、フィーチャ開口付近に形成されるオーバハングである。一例を図1Bに示している。
ボイドまたはシームの形成のもう1つの原因は、図1Bには示していないものの、シームの形成またはシームの拡大につながり得ることであって、それは、湾曲フィーチャとも呼ばれるフィーチャ孔の側壁の曲がり(または湾曲)である。湾曲フィーチャにおいては、開口付近のキャビティの断面寸法は、フィーチャ内部のそれよりも小さい。湾曲フィーチャにおいて、このように開口が窄まることの影響は、上記のオーバハングの問題と若干似ている。図1C、1D、1Gに示すようなフィーチャ内の狭窄部は、ボイドおよびシームがほとんど、または全く生じないタングステン充填において、同じく課題を提示する。
ボイドフリー充填が達成された場合であっても、フィーチャ内のタングステンは、ビア、トレンチ、ライン、または他のフィーチャの軸もしくは中心を通って延びるシーム(割れ目)を含むことがある。これは、タングステンの成長が、側壁で開始して、その粒子が、反対の側壁から成長したタングステンと接するまで継続し得るためである。このようなシームは、フッ化水素酸(HF)などのフッ素含有化合物を含む不純物の捕捉を可能とし得る。また、化学機械平坦化(CMP)の際に、コアリングもシームから伝播し得る。いくつかの実現形態により、本明細書に記載の方法は、ボイドおよびシームの形成を抑制(阻害)または解消することができる。また、本明細書に記載の方法は、以下の1つ以上に対処することができる。
1)非常に難しいプロファイル:参照により本明細書に組み込まれる米国特許出願第13/351970号に記載されているような堆積−エッチング−堆積・サイクルを用いて、ほとんどのリエントラント型フィーチャにおいてボイドフリー充填を達成することができる。ただし、寸法およびジオメトリによっては、ボイドフリー充填を達成するために、複数の堆積−エッチング・サイクルが必要となることがある。このことは、プロセスの安定性およびスループットに影響し得る。本明細書に記載の実現形態により、より少ない堆積−エッチング−堆積・サイクルで、または堆積−エッチング−堆積・サイクルなしで、フィーチャ充填を提供することができる。
2)小さいフィーチャと、ライナー/バリアへの影響:フィーチャサイズが極めて小さい場合には、下層ライナー/バリアの完全性に影響を及ぼすことなく、エッチングプロセスを調整することが非常に難しくなり得る。一部の例では、Wの選択的エッチングの際に、恐らくエッチング中にTiFxパッシベーション層が形成されることによって、断続的なTiアタックが生じ得る。
3)W粒界における散乱:フィーチャ内部に複数のW粒子が存在することによって、粒界散乱により電子損失が生じ得る。その結果、実際のデバイス性能は、理論予測およびブランケットウェハの結果と比較して、低下することになる。
4)W充填のためのビア体積の減少:特に、より小さく、より最新のフィーチャでは、金属コンタクトのかなりの部分が、Wバリア(TiN、WNなど)によって占められている。このような膜は、一般に、Wよりも抵抗率が高く、コンタクト抵抗などのような電気的特性にマイナスの影響を与える。
本明細書で提示するのは、ボイドおよびシームの形成を抑制または解消する、タングステンによるフィーチャ充填の様々な方法である。それらの方法は、垂直向きおよび水平向きを含む任意の向きのフィーチャのフィーチャ充填に用いることができる。一部の実現形態では、それらの方法は、基板の平面に対して斜め向きのフィーチャを充填するために用いることができる。一部の実現形態では、それらの方法は、複数の方向を有するフィーチャを充填するために用いることができる。そのようなフィーチャの例として、堆積ガスがフィーチャに垂直方向および横方向に流入し得る3Dフィーチャが含まれる。さらに、一部の実現形態では、それらの方法は、単一の基板上の様々に異なる向きの複数のフィーチャを充填するために用いることができる。
水平向きおよび垂直向きのフィーチャの場合のフィーチャ充填の例について、以下で説明する。なお、それらの例は、多くの場合、水平向きまたは垂直向きのフィーチャのどちらにも適用可能であるということに留意すべきである。さらに、以下の説明において、「横方向」という用語は、フィーチャ軸に略垂直な方向を指して使用され、「垂直方向」という用語は、フィーチャ軸に略沿った方向を指して使用され得るということにも留意すべきである。
以下の説明では、タングステンによるフィーチャ充填に焦点を当てているが、本開示の態様は、他の材料によるフィーチャ充填において実施することもできる。例えば、インサイドアウト・フィーチャ充填、エッチング・コンフォーマル性変調、還元剤の転化、未転化の還元剤をエッチングストップとして用いる還元剤の部分的転化、ハロゲンフリー充填のうち、1つ以上の上記手法を用いたフィーチャ充填を、他のタングステン含有材料(例えば、窒化タングステン(WN)、炭化タングステン(WC))、チタン含有材料(例えば、チタン(Ti)、窒化チタン(TiN)、チタンシリサイド(TiSi)、炭化チタン(TiC)、チタンアルミナイド(TiAl))、タンタル含有材料(例えば、タンタル(Ta)、窒化タンタル(TaN))、ニッケル含有材料(例えば、ニッケル(Ni)、ニッケルシリサイド(NiSi))など、他の材料によってフィーチャを充填するために用いることができる。
インサイドアウト充填
リエントラント型フィーチャにおける従来のタングステン堆積は、側壁面から開始して、フィーチャがピンチオフされるまで横方向に(すなわち、側壁面およびフィーチャ軸に垂直に)進行する。本明細書に記載のインサイドアウト充填では、タングステン成長は、フィーチャから垂直方向に(すなわち、フィーチャ軸に沿って)進行する。一部の実現形態では、フィーチャ側壁からのタングステン成長は、解消または遅延されて、タングステンのインサイドアウト成長が可能となる。その結果、タングステン粒子は大きく、抵抗率がより低くなることが可能であり、また、フィーチャ軸に沿ったシームはなく、化学機械平坦化(CMP)の際のコアリングが軽減され、フィーチャにおけるボイドは解消および軽減され得る。
本明細書に記載の実現形態は、フィーチャ内へのタングステンの堆積を伴って、その後に続いて、側壁に堆積されたタングステンのすべてまたは一部をエッチングにより除去する一方で、それよりさらにフィーチャ内部のタングステン、例えば垂直向きフィーチャの底部もしくは水平向きフィーチャの閉端部のようなフィーチャ閉端部のタングステン、または複数の開口を有する水平向きフィーチャの内部のタングステンは、そのまま残すことができる。最初の堆積は、フィーチャのすべてのアクセス可能な表面からタングステンが均等に成長するコンフォーマルなものであり得る。その後の堆積は、下層または基板構造上よりも、むしろフィーチャ内に残存するタングステン上で優先的にタングステンが成長するという点で、「選択的」であり得る。一部の実現形態では、堆積プロセス全体(例えば、コンフォーマル堆積−エッチング−選択的堆積)が、コンフォーマルというよりも、インサイドアウトとして特徴づけられるものであり得る。インサイドアウト充填とは、フィーチャの内部から生じる成長を意味し、図1Aおよび1Bにあるようなクローズエンドの垂直構造の場合は「ボトムアップ」充填と呼ぶことができる。
図2は、フィーチャのインサイドアウト充填の方法における、いくつかのオペレーションを示すプロセスフロー図である。本方法は、ブロック201で、フィーチャ内にタングステンをコンフォーマルに堆積することにより、開始することができる。一部の実現形態では、ブロック201は、タングステン核形成層の堆積を伴って、その後に続いて、バルク堆積が実施され得る。タングステン核形成層の堆積およびバルク堆積の手法のさらなる詳細については後述する。一部の実現形態では、例えば、フィーチャがタングステン堆積をサポートする下層を含む場合に、ブロック201は、バルク堆積のみを伴うことがある。狭窄部を含むフィーチャ、またはそれ以外のピンチオフが生じやすいフィーチャにおいては、ブロック201は、少なくともフィーチャがピンチオフされるまで実行され得る。コンフォーマル堆積における堆積は、各表面から開始して、表面に略垂直な成長により進行する。フィーチャ内でのタングステン成長は、各側壁から開始して、成長によってフィーチャがピンチオフされるまで進行する。一部の実現形態では、ブロック201で堆積されるタングステンの量は、最も幅狭のフィーチャ寸法に基づいて決定され得る。例えば、最も幅狭の寸法が50nmである場合、ブロック201におけるCVD反応は、各表面に25nmを堆積させるのに十分に長く継続することが許可され、その点で堆積されたタングステンは、反応物質がさらに先のフィーチャ内に拡散することを阻む。これは、一般に、反応速度、タングステン核形成層の厚さなどに基づいて、反応の前に決定され得る。一部の実現形態では、ブロック201は、参照により本明細書に組み込まれる米国特許出願第13/016656号に記載されているような複数の堆積−エッチング−堆積・サイクルを伴い得る。一部の実現形態では、ブロック201は、エッチング工程を含まず、少なくともフィーチャがピンチオフされるまで、単に堆積が実施される。ブロック201は、1つのチェンバで、マルチステーション・チェンバまたはシングルステーション・チェンバの1つのステーションで、マルチステーション装置の複数のステーションで、または複数のチェンバで、実施され得る。例えば、ブロック201は、あるチェンバの1つのステーションにおけるタングステン核形成層の堆積を伴って、その後に続いて、そのチェンバの別のステーションにおいてバルク堆積が実施され得る。
プロセスは、続いて、ブロック203でのタングステンの部分エッチングに進み得る。一部のタングステンはフィーチャ内にそのまま残される一方で、エッチングによってフィーチャ側壁の少なくとも一部からタングステンが除去される。ブロック203は、一般に化学エッチングを伴い、それは、例えば、フッ素含有種または他のエッチャント種によるものである。一部の実現形態では、活性種を用いることができる。活性種には、原子種、ラジカル種、イオン種が含まれ得る。本出願の目的では、活性種は、再結合種と区別され、また、プラズマ発生器に最初に供給されたガスと区別される。例えば、堆積されたタングステンの部分エッチングは、リモートプラズマ発生器またはインサイチュ・プラズマ発生器で生成されたエッチャント種への暴露を伴い得る。一部の実現形態では、リモート生成とインサイチュ生成によるプラズマ種の両方を、順次または同時のいずれかで用いることができる。一部の実現形態では、F2、CF3Cl、または他のエッチャントケミストリを用いた非プラズマ化学エッチングを用いることができる。ブロック203は、ブロック201と同じチェンバ内で、または異なるチェンバ内で、実施することができる。フィーチャ内のタングステンをエッチングする方法のさらなる詳細については後述する。フィーチャアーキテクチャに応じて、エッチングは、コンフォーマルまたは非コンフォーマルとすることができる。また、エッチバックは、一般に、(フィーチャ軸に垂直に)横方向および/または(フィーチャ軸に沿って)垂直方向に進み得る。
様々な実現形態により、エッチングは、下層に比して優先的または非優先的とすることができる。例えば、エッチングは、Wに優先的とすることができ、このとき、例えば、TiまたはTiNの下層がエッチングストップとして機能する。一部の実現形態では、エッチングは、WおよびTiまたはTiNをエッチングし得るものであって、この場合、下の誘電体がエッチングストップとして機能する。
次に、プロセスは、ブロック205に進み、残りのタングステン上への選択的堆積を実施する。選択的堆積とは、タングステンが除去された側壁または他の表面に比して、タングステン表面への優先的な堆積を意味する。一部の実現形態では、選択的堆積プロセスによって、側壁面にはタングステンが略堆積されないことがある。一部の実現形態では、選択的堆積プロセスによって、タングステン表面への堆積よりも著しく遅い成長速度ではあるものの、側壁面に少量のタングステンが堆積されることがある。例えば、成長速度および堆積厚さは、タングステン表面に比べて、側壁面では半分またはそれ以下であり得る。それは、一部の実現形態では、10分の1、または100分の1でさえあり得る。
一部の実現形態では、ブロック205は、核形成層を堆積させることなく進めることができる。これによって、フィーチャ内に残存するタングステンのみへの選択的堆積が可能となり得る。多くの実現形態では、ブロック201は、コンフォーマル堆積を達成するために核形成層の堆積を伴うが、一方、ブロック205では、中間核形成層を堆積させることなく、エッチングされたタングステン層への堆積を進める。一部の実現形態では、少なくとも、さらなる成長が要求されるフィーチャ部分に、核形成層を堆積させることができる。ブロック205で、その後の堆積が所望されない側壁または他の表面への堆積を含めて、核形成層が堆積される場合には、そのような表面におけるタングステン核形成を選択的に抑制することができる。フィーチャ内でのタングステン核形成を抑制する方法は、参照により本明細書に組み込まれる米国特許出願第13/774350号に記載されている。
図2Aは、図2に従ったインサイドアウト充填の一例における、いくつかのオペレーション示すプロセスフローである。プロセスは、原子層堆積(ALD)プロセスまたはパルス核形成層(PNL:Pulsed Nucleation Layer)プロセスによりタングステン核形成層を形成するため、タングステン含有前駆体および1種以上の還元剤を順次パルス化することによって開始することができる(201a)。その後のバルク堆積をサポートすることが可能なコンフォーマル核形成薄層が形成される。核形成層堆積のさらなる詳細については後述する。次に、フィーチャを収容したチェンバに、タングステン含有前駆体と還元剤が同時に導入される(201b)。その結果、ブロック201aで形成されたタングステン核形成層の上に、化学気相成長(CVD)によりタングステンのバルク層が堆積される。バルクタングステン層は、下のタングステン核形成層の輪郭に沿ったものとなり、そしてこれは、コンフォーマル堆積の場合、フィーチャの輪郭に沿ったものである。その後、タングステンは、図2を参照して上述したように部分エッチングされる(203)。プロセスは、続いて、再度、タングステン含有前駆体と還元剤を同時に導入することで、CVDにより別のバルク層を堆積させる(205a)。図2Aの例では、ブロック205aで堆積されるバルクタングステン層は、新たに核形成層を形成することなく、エッチングされたタングステンの上に直接堆積される。
一部の実現形態では、フィーチャ充填は、フィーチャを充填するためのコンフォーマルなタングステン堆積を伴って、この堆積は、フィーチャ内にボイドまたはシームの形成があっても進めることが許容され、その後に続いて、フィーチャを開口させるためのエッチバックと、フィーチャ内への選択的堆積が実施され得る。様々な実現形態によれば、フィーチャを充填するためのコンフォーマル堆積は、フィーチャの頂部までの充填、または狭窄部もしくはピンチ点を越えたところまでのみの充填を含み得る。いずれの場合も、エッチバックの前には、シームおよび/またはボイドを含むフィーチャの一部へのさらなる堆積が遮断されている場合がある。
従来の方式は、最初の堆積工程における部分充填を伴って、ビアまたは他のフィーチャが封鎖されることなく開口したままとするものであった。これらの方式では、その後のエッチング工程において、フィールドおよびピンチ点におけるタングステンの除去を対象とする次のエッチングが、ビアまたは他のフィーチャの内部のタングステンを除去するという望ましくない副次的効果を有することがあった。そして、続く堆積工程では、ビアまたは他のフィーチャの内部とピンチ点で、同じ速度でタングステンが再成長し得る結果、堆積のみによるフィーチャ充填の場合と同じキーホール・ボイドが生じた。これに対し、本明細書で記載する方法は、フィーチャ内に部分的成長を残すことが可能であり、この部分的成長の結果、後の堆積工程で選択的堆積が得られる。例えば、プロセスは、ビア内部の部分的タングステン成長をそのまま残して、フィールドおよびピンチ点からタングステンを完全に除去するために、ピンチオフされたビアをエッチングすることを伴い得る。その後のタングステン堆積で、フィールドにおける著しい成長遅延によって最終的なビア充填におけるピンチオフおよびボイドを防ぎつつ、既存のタングステン層上のビア内部でのタングステン再成長が可能となる。上述のように、著しい成長遅延は、タングステン成長をサポートする表面の除去に、少なくとも部分的によるものであり得る。一部の実現形態では、エッチングは、下層に比してタングステンに優先的とすることができる。例えば、TiN/W(窒化チタン下層/タングステン層)二重層を含むフィーチャは、タングステンに優先的なエッチングを施すことができる。優先的エッチング(選択的エッチングとも呼ばれる)では、フィールドおよびピンチ点においてTiNに及んでエッチングすることなく、フィールドおよびピンチ点からタングステンを除去することができる。その後の堆積により、フィールド上またはピンチ点の側壁上は除いて、フィーチャ内でのタングステン再成長が可能となる。その結果、成長は、コンフォーマルではなく、インサイドアウト(例えば、ボトムアップ)となる。様々な実現形態について、図3A、3B、4A、4Bに関連して以下で説明する。
一部の実現形態では、フィーチャ充填は、1)フィーチャをピンチオフさせる堆積、2)ピンチ点に至るタングステンを除去するためのエッチバック、3)ピンチ点より下の選択的堆積による充填、4)フィーチャの残り部分の充填、を伴い得る。一部の実現形態では、(2)は、TiN、Ti、または他の下層に比して、タングステンに選択的な(すなわち、優先的な)エッチング条件を伴う。図3Aは、そのような方法を用いたフィーチャ充填の断面模式図の一例を示している。最初に、オペレーション310で、コンフォーマル堆積法を用いて、ピンチ点351およびTiN下層313を含むフィーチャ301に充填する。堆積は、フィールド領域317にタングステンを堆積させるとともに、フィーチャをピンチオフさせて継続することが許容される。このオペレーションでの堆積は、フィーチャに対して概ねコンフォーマルとすることができ、充填されたフィーチャ301内にはボイド312が残る。図3Cに、コンフォーマル堆積の一例を模式的に示しており、フィーチャ301におけるタングステンの成長段階350、360、370を例示している。段階350、360、370は、例えば、フィーチャ301内にタングステンを堆積させるCVDプロセスの進行する段階を表し得る。段階350で、タングステン層302により、フィーチャ301をコンフォーマルにライニングする。タングステンの成長は、段階360に示すように、略均一な成長によって、フィーチャ表面から垂直に進行するように継続する。段階370で、ピンチ点351における側壁面からの成長によって、フィーチャ301は、ボイド312を残して封鎖される。図3Aに戻って、310での充填は、一部の実現形態では、厳密にコンフォーマルとすることができる。他の一部の実現形態では、充填は、何らかの非コンフォーマルな側面を有しつつ、概ねコンフォーマルとすることができる。例えば、フィーチャ301の封鎖を遅らせるため、ピンチ点351におけるタングステン核形成を抑制することができる。いずれの場合も、オペレーション310の後には、ボイド312が存在する。
オペレーション320で、タングステンに対して選択的なエッチングにより、フィーチャ301を開口させる。すなわち、フィーチャ301は、下層313をほとんどエッチングすることなくタングステンをエッチングするエッチングケミストリを用いて、エッチングされる。図の301の例では、窒化チタンをエッチングすることなく、タングステンがエッチングされる。ピンチ点351のタングステンが除去されるまで、エッチングを進行させる。エッチングプロセスが終わらないうちは、ピンチ点より下のタングステンが手付かずのまま、フィーチャ301は封鎖されたままであり得る。同時に、フィールドにおけるタングステン、およびピンチ点またはそれより上のタングステンがオーバエッチングされ、これにより下層が露出する。選択的エッチングが用いられるため、フィールド領域およびピンチ点351の側壁の窒化チタン層313は、そのまま残る。その結果、ピンチ点351より下のフィーチャ301内にタングステン303が存在して、ピンチ点351およびそれより上の側壁に沿ったタングステンは最小限であるか、または存在しない。存在する場合の、残ったタングステンは、一般に、側壁からの高品質の一定した成長をサポートするには不十分である。例えば、一部の実現形態では、不連続膜であり得る。
オペレーション330で、フィーチャ301内に残ったタングステン303の上にタングステンが選択的に堆積される。タングステンは、ピンチ点351より下にのみ存在するので、タングステンは、ピンチ点351より下に選択的に堆積される。このオペレーションにおける充填は、ボトムアップと特徴づけることができる。
一部の実現形態では、オペレーション330は、オペレーション320の直後に実行することができる。ピンチ点351およびそれより上の露出した下層313の上での低速のタングステン成長と比較して、フィーチャ301内に存在するタングステン303の上では、より高速の再成長であることから、この堆積は選択的である。一部の実現形態では、オペレーション330に先立って、ピンチ点351におけるタングステン核形成を抑制することができる。図示はしていないが、一部の実現形態では、オペレーション320と330を、1回以上繰り返すことができる。例えば、オペレーション330を実行した結果、シームが形成された場合に、シームを除去するためのエッチングを、さらなる選択的堆積オペレーションの前に実行することができる。シームの除去については、図3Bを参照して後述する。
その後、フィーチャ301を完全に充填するために、充填を継続させることができる。一部の実現形態では、フィーチャ内での選択的により高速な再成長によって、頂部がピンチオフする前に充填を完了することが可能となり得る(図示せず)。一部の実現形態では、完全な充填を達成するために、エッチングおよび選択的充填プロセスを1回以上繰り返すことができる。オペレーション320と330の1回以上の繰り返しの後に、フィーチャが完全に充填されていない場合は、一部の実現形態では、フィーチャ301の充填を完了するためにコンフォーマル充填を実施するオペレーション340を実行することができる。オペレーション340は、一部の実現形態では、ピンチ点351の側壁へのタングステン核形成層の堆積を伴い得る。一部の実現形態では、ピンチ点における以前の選択的抑制処理(選択的阻害処理)の効果を、オペレーション340で低下させて、核形成層を堆積させることなくコンフォーマル充填を可能にすることができる。
一部の実現形態では、フィーチャ充填は、1)フィーチャを充填する堆積、2)シーム形成部に至るタングステンを除去するためのエッチバック、3)選択的堆積による充填、4)フィーチャの残り部分の充填、を伴い得る。図3Bは、そのような方法を用いたフィーチャ充填の断面模式図の一例を示している。最初に、オペレーション315で、コンフォーマル堆積法を用いて、窒化チタン下層313を含むフィーチャに充填する。本例では、フィーチャ301は、略垂直な側壁を有して、狭窄部は持たず、従って、フィーチャ301内にボイドは形成されない。しかしながら、各側壁からの成長が接するところに、フィーチャ301の軸に沿ってシーム314が形成される。成長は、フィーチャ301の底からも生じるので、シーム形成は、フィーチャの底より上の点352で開始する。堆積は、シーム形成の開始後のある点で停止され、これは、一般に、フィーチャ内における標準的なCVD−Wプロセスのコンフォーマル性によって、図3Bの例に示すように、オペレーション315での完全なフィーチャ充填を伴う。終点は、フィーチャ寸法およびタングステン堆積速度に基づいて、堆積前に決定することができる。一部の実現形態では、フィーチャがシーム形成位置において部分的にのみ充填されるようにフィーチャプロファイルを調整するために、オペレーション315で、核形成の抑制および/または1種以上の非コンフォーマルエッチングを用いることができる。
次に、オペレーション325で、窒化チタン(または他の下層)313がエッチングストップとして機能することによる、タングステンに選択的なエッチングにより、フィーチャを開口させる。シーム形成点352またはそれより下の層303を残して、少なくともシーム形成点352まで、このエッチングを進行させる。オペレーション325で実施されるエッチングは、図3Aを参照して説明したオペレーション320で実施されるものとは、いくつかの点で異なり得るということに留意すべきである。オペレーション325では、フィーチャ内のタングステンを、シーム形成点に達するまで均一に除去するために、コンフォーマルエッチングを実施する。エッチング・コンフォーマル性を制御する方法のさらなる詳細については後述する。これに対し、オペレーション320でのエッチングは、フィーチャの頂部付近においてのみ、タングステンを除去するものであって、より非コンフォーマルである。ただし、閉じたピンチ点351の存在がボイド312内へのエッチャントの拡散を阻むので、オペレーション320の際に非コンフォーマルエッチング用の条件は不要となり得るということに留意すべきである。
図3Bに戻って、フィールドのタングステンおよびシーム形成点より上のタングステンがオーバエッチングされ、これにより下層313が露出する。選択的エッチングが用いられるため、窒化チタン層313は、フィールド領域上およびフィーチャ301の側壁上にそのまま残る。その結果、シーム形成点より下のフィーチャ301内にタングステン303が存在し、シーム形成点352およびそれより上の側壁に沿ったタングステンは最小限であるか、または全くない。
次に、オペレーション335で、結果的にボトムアップ充填が得られる選択的堆積が実施され、このときの選択性は、フィーチャ底部のタングステン層303において、より高速である成長反応速度によって誘発される。図3Aを参照して説明した例の場合と同様に、一部の実現形態では、選択性は、さらに、エッチングオペレーション325後かつ堆積オペレーション335前にフィーチャ301内でのタングステン核形成を選択的に抑制することによって誘発され得る。選択的抑制を用いたボトムアップ充填法は、参照により本明細書に組み込まれる米国特許出願第13/774350号で解説されている。一部の実現形態では、オペレーション335は、フィーチャ頂部までフィーチャが充填されるまで実行することができる。他の一部の実現形態では、フィーチャ頂部に達する前のある点で、具体的には、いくらかの側壁成長が生じて新たにシームが形成されたら、フィーチャ充填を停止することができる。図3Bに示す例では、フィーチャ301を完全または部分的に充填した後に、再び側壁からタングステンを除去するために、第2のエッチングオペレーション326が実施される。このエッチングにおいてシームが除去される。その後、フィーチャを充填するために、選択的堆積336が実施される。フィーチャを充填するために、これらの選択的エッチングと堆積のオペレーションを1回以上繰り返すことができる。
様々な実現形態により、上記の方法は、水平向きフィーチャのインサイドアウト充填に用いることもできる。また、図3Aおよび3Bに関して上述した方法では、下層はそのまま残してタングステンを除去する選択的エッチングを用いているが、一部の実現形態では、エッチングにおいてバリア層または他の下層を除去することができる。
図4Aは、インサイドアウト充填の別の例を示しており、この場合、狭窄部451を含むワードライン(WL)のような水平向きフィーチャ401を充填する。フィーチャ401は、誘電体419と窒化チタン413の下層を含んでいる。(一部の実現形態では、イメージ410が側面図であるとすると、狭窄部451は、例えば3D構造でピラーの配置によって生じる、紙面に入る方向に広がる平面における狭窄領域を表し得るということに留意すべきである。)一番上のイメージ410は、標準的なCVDを用いたWL充填を示しており、この場合、タングステン核形成層が、フィーチャ内で窒化チタン層413の上にコンフォーマルに堆積され、その後に続いて、タングステン核形成層上へのCVD堆積が実施される。タングステン402によってフィーチャを充填し、このとき、狭窄部451を越えた先のWL内にかなりのボイド412が現れる。さらに、このタングステン充填では、狭窄部451を通ってフィーチャ401の開口に達するシーム414が現れる。
本明細書で記載する方法では、充填は、オペレーション420で、ボイド412をピンチオフさせることを含んで、フィーチャを部分的に充填するためのコンフォーマル堆積によって開始することができる。オペレーション420は、窒化チタン413上へのコンフォーマルなタングステン核形成層の堆積を含むことができ、その後に続いて、狭窄部が充填されるまでCVD堆積が実施され、これによりボイド412がピンチオフされる。上述のように、堆積の終点は、狭窄部451の寸法およびタングステンの堆積速度に基づいて決定することができる。
オペレーション430で、フィーチャ401の狭窄部451と開口との間に堆積されたタングステンおよび窒化チタンを除去するため、タングステンおよび窒化チタンのコンフォーマルエッチングが実施される。一部の実現形態では、エッチング非選択性を高めるために、エッチング温度を比較的高くすることができる。誘電体層419が、エッチングストップとして機能し得る。このエッチングは、「横方向」、またはフィーチャ軸に垂直な方向であると考えることができる。エッチングは、オペレーション440で継続されることができ、狭窄部451を越えた先のエッチングにより、タングステンの底層403のみを残して、ボイドが除去されるまで、タングステンおよび窒化チタンを除去する。このときのエッチングは、「垂直方向」、またはフィーチャ軸に平行な方向と考えることができる。(留意すべきことは、エッチング条件は、オペレーション430から440で必ずしも変更されるとは限らず、エッチング方向は、除去対象のタングステンの堆積された厚さおよび位置によって変化し得るということである。)層403は、その後の選択的堆積のための底部タングステンシード層として機能し得る。次に、オペレーション450で、選択的インサイドアウト充填が実施される。誘電体419上には堆積させることなく、存在するタングステンシード層403の上にのみタングステンを選択的に堆積させる。上記の方法と同様に、一部の実現形態では、エッチングと選択的堆積のオペレーションを1回以上繰り返すことができる。その結果、従来のCVDで充填された410に示す層402よりも、粒子が大きく、粒界が少ない、ボイドフリーかつシームフリーの層404が得られる。また、TiN下層413は、フィーチャの底にのみ存在する。タングステン層404と誘電体層419との間のバリア層は不要な場合があり、タングステンと窒化チタンの非選択的エッチングによって、タングステン層404が占めるWL体積をより多くすることが可能となり得る。
一部の実現形態では、インサイドアウト充填されたタングステンの、基板への接着性を向上させるため、選択的堆積の際にフィーチャ内に接着層を堆積させることができ、さらに/またはフィーチャのインサイドアウト充填の前、最中、または後にフィールド領域に接着層を堆積させることができる。例えば、図4Aにおいて、オペレーション450でのインサイドアウト成長を、ある点で停止させることができ、その後に続いて、接着層を堆積させ、その後、タングステン堆積を継続する。一例を、以下で図4Bに示している。
一部の実現形態において、これらの方法は、フィーチャを充填するための、適切な余剰厚さでのタングステン堆積を含み得る。一部の例では、ボイドフリー充填を達成するために、上記で参照した米国特許出願第13/016656号に記載されているような堆積−エッチング−堆積・シーケンスを用いることができる。フィーチャが充填された後に、それを、フィーチャ内のタングステンにリセスを形成すると同時に、例えばTiN、Ti、WN、またはフッ素フリータングステン(FFW)のうちの1つ以上であるフィールドの下層、およびリセス深さ平面までの側壁に沿った下層を除去する条件で、エッチングすることができる。様々な実現形態により、下層誘電体を、除去することができ、または除去しなくてもよい。リセスエッチングの後に続いて、フィーチャの軸に沿ったインサイドアウト(ボトムアップ)成長によるバルクタングステン堆積を実施することができる。一部の実現形態では、ライナー層、バリア層、もしくは接着層、または他の下層が除去される場合に、フィールドへのタングステン堆積およびCMPの前に、別の下層を、フィールド内に、さらに/またはフィーチャ側壁に沿って堆積させることができる。
リセスエッチング後のインサイドアウト充填の方法の一例におけるフィーチャ401の断面図を、図4Bに提示している。最初に、460で、タングステン402によってフィーチャ401を充填する。フィーチャ401は、下層461および463を含み、これらは、例えば、Ti、TiN、WN、TiAlなどのいずれかとすることができる。フィーチャ401内にはシーム414が存在する。462では、エッチングされたタングステン層403を残して、タングステン402および下層461、463を、リセス深さ平面まで除去するリセスエッチングを実施することができる。別の実現形態では、選択的エッチングによって、下層461、または下層461および463を残すことができる。リセスエッチングによって、フィーチャ401のリセス体積内のシーム414が除去され、これは、後のCMP工程中のコアリングの防止に役立つ。従って、リセス深さは、シーム414がCMPに影響を及ぼさないようにフィーチャ開口から十分に離れた距離に少なくとも部分的に基づいて、選択することができる。リセスエッチングを実施する方法のさらなる詳細については後述する。次に、464でのインサイドアウト充填オペレーションにおいて、フィーチャは、タングステンによって充填される。上述のように、インサイドアウト充填は、フィーチャ401内に残存するエッチングされたタングステン403上での選択的堆積を伴う。その結果、大きな垂直方向の粒成長によるインサイドアウト充填タングステン層404が得られる。466で、インサイドアウト成長を停止させ、フィーチャ401の上に1つ以上の層465を堆積させる。層465は、例えば、接着層またはバリア層とすることができる。例として、Ti、TiN、Ti/TiN、WNが含まれる。そして、上記1つ以上の層465の露出面でタングステンがどれほどよく成長するのかに応じて、タングステン核形成層を、上記1つ以上の層465の上に堆積させることができる。一部の実現形態では、層465は、タングステン核形成層である。次に、468で、余剰タングステン層405を堆積させる。余剰層405は、ボトムアップ成長によって堆積されない場合があるものの、それはCMPの際に除去されるものであるため、コアリングに関する懸念を提示し得るものではない。CMP後のフィーチャ401を、470に示している。タングステン層404は、CMPの際にコアリングのリスクを提示しないことに加えて、その粒子が大きいことと、層404によって充填される体積がより大きいことにより、向上した電気的特性を提供する。
図2、2A、3A、3B、4A、4Bを参照して説明した方法には、様々な利点がある。例えば、上記で参照した米国特許出願第13/351970号に記載されているような部分的堆積−エッチング−堆積・サイクルを用いて、ほとんどのリエントラント型フィーチャにおいてボイドフリー充填を達成することができるが、寸法およびジオメトリによっては、ボイドフリー充填を達成するために、複数の堆積−エッチング・サイクルが必要となることがある。このことは、プロセスの安定性およびスループットに影響し得る。図3Aなどに関して本明細書で記載した実現形態により、より少ないサイクルで、リエントラント型フィーチャのフィーチャ充填を提供することができる。
ボイドフリー充填が達成された場合であっても、フィーチャ内のタングステンは、ビアの軸、トレンチの中心、または他のフィーチャ軸を通って延びるシームを含むことがある。これは、タングステンの成長が、側壁で開始して、その粒子が、反対の側壁から成長したタングステンと接するまで継続するためである。このようなシームは、フッ化水素酸(HF)のような不純物の捕捉を可能とし得るとともに、CMPコアリングも、シームから伝播し得る。図3B、4A、4Bに示すように、本明細書に記載のインサイドアウト充填法によって、シームを除去または軽減することができる。典型的な側壁からのタングステン成長とは異なり、インサイドアウト充填法により、底部または内部のタングステンシード層からの垂直なタングステン成長(すなわち、フィーチャの軸に沿った成長)を促進することができる。このようにして、シームの形成を回避することができ、これにより、シームに沿ったCMPスラリーの捕捉がなく、シームにおけるHFのようなガス状不純物の捕捉がなく、デバイス内のシームにおける電子伝達損失が最小限となる、などの効果が得られる。
フィーチャ内部に複数のW粒子が存在することによって、粒界散乱により電子損失が生じ得る。実際のデバイス性能は、理論予測およびブランケットウェハの結果と比較して、低下する。図2、2A、3A、3B、4A、4Bを参照して説明した方法によって、結果的に粒界をより少なくすることができ、電気抵抗は低くなり、性能が向上する。例えば、図3Bを参照すると、シーム314における粒界は解消されている。一部の実現形態では、層303内に存在する垂直配向粒子が、後続の選択的堆積オペレーションにおいて継続して成長することができ、粒界の数が低減する。
フィーチャサイズが極めて小さい場合には、下層ライナー/バリアの完全性に影響を及ぼすことなく、エッチングプロセスを調整することが非常に難しくなり得る。一部の例では、Wの選択的エッチングの際に、恐らくエッチング中にTiFxパッシベーション層が形成されることによって、断続的なチタンアタックが生じ得る。従って、選択的エッチングに依存しない方法によれば、断続的なチタンアタック、および小さいフィーチャを選択的にエッチングするという難題を、回避することができる。図4Aおよび4Bに示す方法のような一部の実現形態では、タングステンバリアおよびライナーなどの下層が除去される。従って、ライナー/バリアの完全性を損なうことを回避するために、タングステンのエッチング量を厳密に制御する必要はない。このことは、非常に薄いタングステン膜を有する極めて小さいフィーチャの場合に有用となり得る。例えば、ライナーがチタンである場合には、エッチングプロセスがチタンまたは窒化チタンのエッチングに対して極めて高選択性であっても、チタン膜のフッ素アタックが生じ得る。チタンを除去することによって、フッ素によるチタン膜のアタックを回避することができる。
金属コンタクトのかなりの部分が、タングステンバリアまたは他の下層(TiN、WNなど)によって占められている場合には、抵抗が増加し得る。なぜなら、これらの膜は、タングステンよりも高い抵抗率を有するからである。このことは、コンタクト抵抗のような電気的特性にマイナスの影響を及ぼし得る。例えば、2xおよび1x nmコンタクトのような極めて小さいフィーチャでは、コンタクトのかなりの部分が、タングステンよりもはるかに高い抵抗率を有するバリア材(TiN、WNなど)によって占められていることがある。バリアをエッチングして、その体積をタングステンの成長に用いることにより、電気的性能の向上が期待できる。
一部の実現形態では、インサイドアウト充填法は、フィーチャ開口で優先的にエッチングする細かい調整によるエッチングプロセスではなく、コンフォーマルなエッチングプロセスを用いることができるので、向上したプロセス制御および再現性を伴い得る。特定のプロセス条件下で、単粒かつシームフリーのインサイドアウト・タングステンを、ビア、トレンチライン、および他のフィーチャの内部で成長させることができる。インサイドアウト充填法のさらなる例および利点については、図15、16、17、19を参照して後述する。
様々な実現形態により、本明細書に記載の方法は、選択的または非選択的なエッチングを用いることを伴い得る。それらの方法では、様々な下層に対してWを優先的または非優先的にエッチングするように、エッチング選択性を調整する方法を採用することができる。例えば、それらの方法は、TiNおよびTiに比してWに優先的な、またはWに比してTiNおよびTiに優先的な、または非優先的な、エッチングを採用することができる。
一部の実現形態では、フィーチャ充填材をエッチングすることは、ダウンストリーム(リモート生成)F系プラズマを伴う。図5Aおよび5Bは、三フッ化窒素(NF3)を20sccmでリモートプラズマ源に供給した場合の、タングステン(W)、窒化チタン(TiN)、チタン(Ti)のエッチング速度と、W:TiおよびW:TiNのエッチング選択性を、温度の関数として示している。これらの図で分かるように、W:TiNおよびW:Tiのエッチング選択性は、温度を制御することにより調整することが可能であり、温度を下げるにつれて、エッチングはWに優先的になる。また、温度を上げることで、Wに比してTiNおよびTiに優先的なエッチングを提供することも可能である。図5Cおよび5Dは、50sccmの場合の温度の効果を示している。これらのグラフは、温度および流量を調整することで、エッチング選択性を調整できることを実証している。さらなる詳細は、2012年7月28日に出願された米国特許出願第13/536095号に記載されており、この文献は、参照により本明細書に組み込まれる。これに記載されているように、0.5:1未満から100:1を越える範囲の、W:TiNおよびW:Tiのエッチング選択性を提供するために、温度、エッチャント流量、および他のパラメータを制御することができる。例えば、100℃または75℃未満の温度で、リモート生成されたフッ素ラジカルを用いて、TiNに比してWに選択的なエッチングを実施することができる。同様に、より高温で、非選択的エッチングを実施することができる。
リセスエッチングおよびエッチング・ステップカバレッジ変調
一部の実現形態では、本明細書に記載の方法により、充填されたフィーチャにおいて、所望の程度のコンフォーマル性の横方向エッチングを、垂直リセスエッチングと組み合わせることが可能となる。埋め込みワードライン(bWL)およびワンシリンダストレージ(OCS:One Cylinder Storage)のような一部の新技術および用途では、フィーチャの下部のみがタングステンで充填される場合があり、上部は異なる材料による充填を可能とする開放体積となる。図6は、リセスタングステン層603を有するフィーチャ601の一例を示している。リセス層603は、開口602からのリセスが形成されており、そのリセス深さDは、フィーチャ601の横方向にわたって略均一である。標準的なW−CVDはコンフォーマルな堆積プロセスであり、タングステンは側壁からフィーチャ内へ横方向に成長するので、このようなプロファイルは、標準的なW−CVDプロセスを用いて実現することは難しい。開放体積605は、一部の実現形態において、別の材料で充填することに利用できる。フィーチャの下部のみにタングステンが充填されるbWLおよびOCSとしての用途に加えて、リセスタングステン層は、フィーチャへのインサイドアウト充填の場合のシードとして用いることができる。一例について、図4Bに関連して上述した。
3D NANDおよび垂直集積メモリ(VIM)デバイスのようなケースでは、ピンチ点の位置およびそれを越えたタングステン充填が予想される。そのような用途では、ピンチ点位置での、例えばWF6およびH2分子または他の反応物質の反応による横方向のタングステン成長によって、ピンチ点を越えたより広い領域へのWF6およびH2の拡散が阻まれ、その結果、ボイドが生じる。本明細書で提示する方法によって、そのようなボイドを解消することが可能である。一部の実現形態では、2つのアプローチの一方または両方を、一緒または個別に用いることができる。1つのアプローチは、ボイドの形成を許容することを伴って、その後、エッチングプロセスによって、そこに至る垂直エッチングを実施し、ボイドを切開してタングステンで再充填するものであり、そのエッチングプロセスは、下層に対して選択性を有するものであってもなくてもよい。他方のアプローチは、部分的なタングステン堆積を伴って、その後に続いて、ピンチ点を越えた先と比較してピンチ点でより多くのタングステンがエッチングされるように、所望の程度のエッチング・コンフォーマル性を達成するためのエッチング条件の綿密な調整を実施するものである。入来する可能性のあるプロファイルと、その結果のタングステン充填のいくつかの例を、図1Cに示している。一方または両方のアプローチを、図2〜4Bに関して上述したインサイドアウト充填法と共に用いることができる。例えば、図3Aおよび4Aは、ボイドを切開して、タングステンでフィーチャを再充填する方法を示している。別の例として、図3Bおよび4Bは、部分的堆積−エッチング−堆積・アプローチを用いることができる最初のボイドフリー・コンフォーマル充填を示している。また、いずれのインサイドアウト充填法における選択的堆積も、インサイドアウトのタングステン成長を成形するようにエッチング・コンフォーマル性が調整される堆積−エッチング−堆積法を伴い得る。リセス形成は、既存のボイド、結晶粒位置、およびフィーチャジオメトリに応じて、フィーチャ内において、(フィーチャ軸に沿った)垂直方向または(フィーチャ軸に垂直に側壁に向かう)横方向に、進めることができる。
上述のように、W−CVD成長は、側壁から横方向に進む。図6に示すような最終的プロファイルを得るために、一部の実現形態では、W−CVDによって構造を完全に充填し、その後に続いて、リセスまたは開放体積を形成するための垂直エッチングを実施する。一部の実現形態では、エッチング条件は、Wのみをエッチングして、下層材のエッチングは避けるように、選択的とすることができる。図7は、例えば、標準的なCVD−Wプロセスを用いてタングステン702で充填されたフィーチャ701を示している。リセスタングステン層703および開放体積705を形成するために、リセスエッチングを実施する。開放体積705は、例えば、WNまたは他の材料によって充填することができる。一部の実現形態では、リセスエッチングは、1工程、2工程、またはそれ以上のエッチング工程で実施することができる。例えば、最初の工程で、高速プロセスによってフィールド領域720のタングステンを除去し、続いて、より細かく制御されたプロセスによって、領域722にエッチングを施し、リセス深さを制御する。一例では、より高速のプロセスは、より高温、より高いエッチャント流量を用いて、さらにプラズマによるエッチングの場合は、より高いプラズマ電力を用いて、実施することが可能である。より高速のエッチングの場合のエッチング速度の例として、10Å/秒〜50Å/秒の間とすることができる。より低速の、より制御されたプロセスは、より低いエッチャント流量を用いて、さらにプラズマによるエッチングの場合は、より低いプラズマ電力を用いて、実施することができる。温度は、下層に対する所望のエッチング選択性に応じて、より高速のエッチング時よりも制御されたエッチング時には低くすることができ、またはそうでなくてもよい。制御されたエッチングの場合のエッチング速度の例として、3Å/秒〜20Å/秒または3Å/秒〜10Å/秒の間とすることができる。
いくつかの実現形態において、同様のリセスエッチングが、図1Cに示すものに類似した構造への充填を達成するのに有用となり得る。図1Cのパネル(a)におけるケースの最も単純な場合として、狭窄部109が原因となって、フィーチャの下部119に深刻なボイド形成が生じる。一部の実現形態では、このようなボイド形成を解消することは、ボイドが切開されるまでエッチバックすることを伴い、W−CVDによるボイドへの再充填が可能となる。一部のケースでは、エッチバックは、フィーチャ寸法に応じて、構造の上部121では(側壁に向かう)横方向とし、狭窄部109では垂直方向(フィーチャ軸方向)とすることができる。一部の実現形態では、全体を通した完全な充填を達成するために、複数の堆積−エッチング・サイクルを用いることができる。図8は、1つの可能性のある手順を示している。
図8は、狭窄部851を含むフィーチャ801を充填する手順を示している。フィーチャ801は、(図4Aのワードラインフィーチャ401のような)水平向きフィーチャ、または垂直向きフィーチャであり得るということに留意すべきである。フィーチャ801は、下層813および819を含んでいる。標準的なCVD−Wを用いたフィーチャ充填を、810に示している。注目されるのは、これは、図4Bに示す標準的なCVD−Wを用いたフィーチャ401の充填と類似しているということであり、タングステン802によってフィーチャを充填し、このとき、かなりのボイド812が、フィーチャ801内で狭窄部851を越えたところに現れる。
本明細書で記載する方法では、充填は、オペレーション820で、ボイド812をピンチオフさせることを含んで、フィーチャを部分的に充填するためのコンフォーマル堆積によって開始することができる。オペレーション820は、下層813上へのコンフォーマルなタングステン核形成層の堆積を含むことができ、その後に続いて、狭窄部851が充填されるまでCVD堆積が実施され、これによりボイド812がピンチオフされる。上述のように、堆積の終点は、狭窄部851の寸法およびタングステンの堆積速度に基づいて決定することができる。この段階では、本方法は、図4Aに記載したものと同様である。次に、オペレーション830で、狭窄部851とフィーチャ801の開口との間に堆積されたタングステンを除去するため、タングステンのエッチングが実施される。図4Aの例で示した方法とは異なり、本例におけるエッチングは、下層813に比してタングステンに選択的なものであり、従って、下層813は、エッチングストップとして機能する。一部の実現形態では、830で実行されるエッチングは、上述のようなリセスエッチングであり、リセス深さを、849に示している。エッチングは、オペレーション840で継続されることができ、狭窄部851を越えた先のエッチングにより、ボイドが再び開口するまで、フィーチャの下部852のタングステンを除去する。一部の実現形態では、残りのタングステン層803を含むフィーチャ寸法は、その後のコンフォーマル充填をオペレーション850でボイドを形成することなく実行できるようなものである。例えば、狭窄部851が、フィーチャ801の下部852における最も幅狭の寸法と略同じであるか、またはそれより幅広であるような寸法であれば、その後のコンフォーマル堆積を、ボイドフリー充填に用いることができる。
一部の実現形態では、オペレーション840で、タングステンは、狭窄部851から完全に除去されて、核形成層が後に堆積されることはなく、これにより、次のオペレーションでのフィーチャ下部852のボイドフリー充填をさらに容易とする。この場合、フィーチャ下部に残存するタングステン803上に、タングステンを選択的堆積させることができる。図4Bにおけるオペレーション450とは異なり、フィーチャ下部852への選択的堆積の結果として得られるのは、フィーチャ下部852へのコンフォーマル充填となり得る。フィーチャ下部852における選択的堆積を容易とするため、狭窄部と、さらに一部の実現形態ではフィーチャ上部854において、タングステン核形成の選択的抑制を実施することができる。
一部の実現形態では、充填を向上させるため、オペレーション840の後に、1回以上の追加の堆積−エッチング・サイクルを実行することができる。実行される場合、1回以上の追加の堆積−エッチング・サイクルは、オペレーション820〜840を1回以上繰り返すことを伴い得る。他の一部の実現形態では、後の堆積のためにフィーチャプロファイルを調整するため、詳細は後述するように、非コンフォーマルエッチングを実施することができる。
図8の例では、850で、ボイドフリーのフィーチャ充填を完了さるためのコンフォーマル堆積を実施する。これは、タングステン核形成層のコンフォーマル堆積と、その後に続く、バルクタングステン層のCVD堆積を伴い得るものであり、上述のように、オペレーション820〜840の1回の実行の直後に、または1回以上の追加のエッチングおよび/または堆積オペレーションの後に、実行することができる。
より複雑なジオメトリでは、図1Cのパネル(b)および(c)に示す例のように、頂部のプロファイルがリエントラント型であり得る。様々な理由で、表面/フィールド付近に(例えば、パネル(b)におけるオーバハング115を参照)、またはフィーチャ内部のより深くに(例えば、パネル(c)における狭窄部112を参照)、リエントラント型セクションがあり得る。このような場合には、図8に示す同じ手順を、最後から2番目の工程までたどることができる。その後、非コンフォーマルエッチングを実施することができ、これにより、ピンチオフ部のみを優先的にエッチングし、それより下のエッチングは最小限とするか、または全くエッチングしない。
非コンフォーマルエッチングの態様については、参照により本明細書に組み込まれる米国特許出願第13/351970号に記載されており、その場合、ビアがタングステンによって部分的に充填され、続いて、タングステンのフッ素系エッチングによって、開口付近において、フィーチャ内のさらに先よりも、多くのタングステンをエッチングする。この後に続いて、タングステン堆積により、フィーチャを充填することができる。(米国特許出願第13/351970号における非コンフォーマルエッチングは、フィーチャの特定の位置において他の位置よりも多くの材料が除去されることから、所々で「選択的除去」と呼ばれていることに留意すべきである。そこで記載されている選択的除去は、上述の、ある材料の別の材料に対する選択的エッチングとは区別される。)また、非コンフォーマルエッチングは、優先的または低ステップカバレッジのエッチングと呼ぶこともできる。優先的(または低ステップカバレッジの)エッチングを得るためには、エッチングプロセス条件が綿密に設計される。適切なエッチング温度、エッチャント流量、エッチング圧力の組み合わせは、所望のコンフォーマル性を得るための助けとなり得る。エッチング・コンフォーマル性が、リエントラント型構造のタイプごとに適切に調整されなければ、堆積−エッチング−堆積・シーケンス後であっても、結果として得られるのは不十分な充填となり得る。
ステップカバレッジは、(反応に使用できる反応物質種)/(反応速度)に比例する。これは、主なエッチャントが原子状フッ素である場合の、本明細書に記載のフィーチャエッチングの一部の実現形態では、以下のように簡単化することができる。
Figure 0006273257
従って、NF3流量(または、他のF含有流量)およびエッチング温度は、原子状フッ素の濃度およびエッチング速度に直接影響するため、ある特定のタングステンエッチング・ステップカバレッジ(または、所望のエッチング・コンフォーマル性、もしくはエッチング非コンフォーマル性)を達成するための主要パラメータとなる。また、エッチング圧力およびキャリアガス流量のような他の変数も、何らかの意味を持つ。
より高温では、入来するフッ素原子が容易に反応して、フィーチャ入口でエッチングを施し、その結果、より非コンフォーマルなエッチングとなる。より低温では、入来するフッ素原子が拡散可能で、フィーチャのより内部でエッチングを施し、その結果、よりコンフォーマルなエッチングとなる。より高いエッチャント流量では、より多くのフッ素原子が生成されて、より多くのフッ素原子が拡散し、フィーチャのより内部でエッチングを施し、その結果、よりコンフォーマルなエッチングとなる。より低いエッチャント流量では、生成されるフッ素原子がより少なく、それらはフィーチャ入口で反応してエッチングを施す傾向にあり、その結果、より非コンフォーマルなエッチングとなる。より高い圧力では、フッ素ラジカルの再結合がより多く生じて、分子状フッ素を形成する。分子状フッ素は、フッ素ラジカルよりも低い付着係数を有するので、タングステンをエッチングする前に、より容易にフィーチャ内に拡散し、よりコンフォーマルなエッチングにつながる。図9Aは、異なるプロファイルを有するフィーチャ901、902における部分的堆積およびエッチングの断面模式図を示している。フィーチャ901は、フィーチャを中ほどまで下がったところに狭窄部951を有し、一方、フィーチャ902は、フィーチャ開口付近にオーバハング915を有する。標準的なCVD−Wによると、その結果、それぞれ狭窄部951とオーバハング915によるピンチオフに起因して、フィーチャ内にボイドが生じる。フィーチャ901のエッチングでは、より低温とし、さらに/または本例ではフッ素ラジカル(F*)であるエッチャント種をより多くし、これにより、エッチャント種をフィーチャのより内部に拡散させて、よりコンフォーマルなエッチングとする。フィーチャ902のエッチングでは、より高温とし、さらに/またはエッチャント濃度をより低くし、これにより、より非コンフォーマルなエッチングとする。
図9Bは、いくつかの異なるNF3流量についての、エッチング温度の関数としてのエッチング速度のプロットである。高いNF3流量による低エッチング速度プロセスを図ることで、エッチング・コンフォーマル性を高めることができる。一例では、「高選択性かつ高いコンフォーマル性のエッチング」として示す領域は、エッチングが(TiまたはTiNに比してWに)選択的であって、フィーチャ全体にわたって高コンフォーマル性となるプロセス条件を示している。試験された最も低いエッチング温度および最も高いNF3流量は、それぞれ25℃および100sccmであったが、反応律速領域を実現するように、エッチング温度を低減し、NF3流量を増加させること(より多くの原子状Fラジカル)で、さらに高いコンフォーマル性を達成することができる。逆に、低いNF3流量(より少ない原子状Fラジカル)で高エッチング速度が達成される物質移動律速領域で作用させることによって、エッチング非コンフォーマル性を高めることができる。例えば、「やや選択性かつ高い非コンフォーマル性のエッチング」として示す領域を参照する。物質移動律速領域および反応律速領域で作用させることの詳細については後述する。
一部の実現形態では、コンフォーマルエッチングは、以下のプロセス条件のうちの1つ以上を伴い得る:約25℃未満の温度、約50sccm超のエッチャント流量、および約0.5トール超の圧力。一部の実現形態では、非コンフォーマルエッチングは、以下のプロセス条件のうちの1つを伴い得る:約25℃超の温度、約50sccm未満のエッチャント流量、および約2トール未満の圧力。所望のレベルのステップカバレッジ(例えば、60%のステップカバレッジ)は、プロセスのコンフォーマル性を増減させるように、これらのプロセス条件のうちの1つ以上を調整することに関わり得る。
フィーチャにおけるピンチオフ位置に応じて、所望のエッチング・ステップカバレッジを達成するように、エッチングプロセスを調整することができる。詳細は後述する図10に示すように、非コンフォーマルエッチングプロセスを、図8の手順に追加することができる。埋め込みワードライン、ワンシリンダストレージ、VNAND、および他の3Dデバイスは、従来のW充填プロセスが不十分であり得る適用例であり、また、本明細書に記載の方法により、所望のタングステンプロファイルを得ることが可能な適用例である。さらに、プロセス条件を調整することにより、エッチング・ステップカバレッジを調整可能であることは、様々に異なるプロファイルにおいて良好な充填を達成するために有用である。ラジカルによるエッチングでは、低温エッチングレジームによって、再結合種(例えば、F2種)の寄与を最小限とし、また、フロー制御によって、ラジカル(例えば、Fラジカル)を、非コンフォーマルとコンフォーマルの両方のエッチング条件に用いることが可能となる。また、ラジカルのみによるエッチングは、ラジカルが小さなシームを通って拡散することでシームを切開する可能性、またはピンホールに侵入する可能性が、再結合種と比較して低いという点で、表面律速がより大きくなる。これにより、エッチングのための一連の新たなアプローチが可能となる:部分充填に頼る代わりに、例えば、エッチバックされる余剰部分によってシームを閉じることができる。別の例では、シームを再び開口させることなく、埋め込みワードライン(bWL)におけるタングステンの最上層をエッチングすることができる。これらの方法により、各種オペレーションのための異なるプロセス条件で、異なるタイプのエッチング能力を提供することができる。
エッチング・コンフォーマル性変調について、主にラジカルによるエッチングの文脈において上記で説明したが、エッチング・コンフォーマル性は、他のタイプのエッチングを用いて変調することもできる。例えば、温度、圧力、流量、エッチャント種を用いて、非プラズマの化学エッチングを制御することができる。これらのパラメータ、および基板に印加されるバイアスを用いて、イオンによるエッチングを制御することができる。一例では、垂直向きフィーチャの、より内部でのエッチングのために、より高電力のバイアスを用いることができる。
いくつかの実現形態において、図2〜8に関して上述した方法における様々な段階で、堆積前にタングステンプロファイルを成形するために、非コンフォーマルエッチングを用いることができる。図10は、フィーチャ1001の下部1052でボイドフリー充填が達成された後のフィーチャ1001の上部1054での堆積において、非コンフォーマルエッチングを用いることができる1つの方法の例である。横方向および垂直方向のエッチング・サイクルに続くタングステン充填後のフィーチャであって、狭窄領域1051と、狭窄領域1051より上の上部1054と、狭窄領域1051より下の下部1052と、を有するフィーチャ1001を、1010に示している。狭窄領域1051より下のフィーチャ1001の下部1052は、ボイドフリーであり、例えば、図8で説明したようなプロセスを用いて、タングステンで充填される。一方、上部1054には、狭窄部1053があることに起因するボイド1012が存在する。
一部の実現形態では、1010に示すようにフィーチャ1001を充填するのではなく、充填は、最初に、オペレーション1020で実施される部分充填を伴い得るものであって、堆積は、狭窄部1053より下の上部1054の領域がピンチオフされる前に停止される。下部1052へのボイドフリー充填は、上述の方法のいずれかによって達成することができる。上部1054は、コンフォーマル堆積プロセスで、タングステンによって部分的に充填される。次に、1030で、非コンフォーマルエッチングが実施され、これにより、狭窄部1053とそれより上のみがエッチングされて、リエントラント型プロファイルが解消される。そして、ボイドフリーのフィーチャ充填を完了させるために、コンフォーマル堆積1040を用いることができる。
よって、異なるフィーチャ深さに2つの狭窄部を有するフィーチャを充填するための1つの可能な手順は、以下のようになり得る:(1)タングステン核形成+下側狭窄部のピンチオフおよび下側狭窄部より下のボイド形成までのCVDバルク堆積(例えば、図8のオペレーション820を参照)(2)下側狭窄部より上および下側狭窄部を通るすべてのタングステンを除去して、ボイドを再び開口させるためのコンフォーマルエッチング(例えば、図8のオペレーション830〜840を参照)(3)タングステン核形成+下側狭窄部とそれより下のボイドフリー充填および下側狭窄部より上の部分充填のためのCVDバルク堆積(例えば、図10のオペレーション1020を参照)(4)下側狭窄部より上のタングステンプロファイルを成形するための、下側狭窄部より上の非コンフォーマルエッチング(例えば、図10のオペレーション1030を参照)(5)ボイドフリーのフィーチャ充填を完了させるためのコンフォーマル堆積(例えば、図10のオペレーション1040を参照)。記載した手順は、ボイドフリー充填を達成するために、フィーチャ充填中の様々に異なる時点でエッチング・コンフォーマル性変調をどのように用いることができるのかについての一例であり、フィーチャプロファイルに応じて、他の手順が可能である。堆積プロファイルを制御するとともに、ボイドフリー充填を達成するため、エッチング・コンフォーマル性変調に加えて、選択的堆積およびコンフォーマル堆積を、フィーチャ充填中の様々に異なる時点で用いることもできる。
同じく上述のように、一部の実施形態では、フィーチャ充填を制御するために、フィーチャの選択的パッシベーションを採用することができる。選択的パッシベーションについては、例えば、共に参照により本明細書に組み込まれる米国特許出願第13/351970号および第13/774350号に記載されており、さらに、図11および図12を参照して後述する。
ボロンの転化を用いたフィーチャ充填
一部の実現形態では、フィーチャ充填は、コンフォーマルなボロン堆積を含んで、その後に続いて、ボロンによるタングステン含有前駆体(WF6など)の還元によって、タングステンの層を形成することができる。反応の一例は、次の通りである。
WF6(g)+ 2B(s) → W(s) + BF3(g)
図13Aは、フィーチャを充填するそのような方法における、いくつかのオペレーションを示すフロー図を示している。最初に、オペレーション1310で、フィーチャ1301内の窒化チタン層1313上にボロンのコンフォーマル薄層1325を堆積させる。オペレーション1320で、例えば上記の反応により、ボロンのコンフォーマル薄層1325をタングステン層1327に転化させる。ボロンの堆積および転化のオペレーションを、1330および1340で繰り返すことで、ボロンの新たなコンフォーマル層1325を形成して、タングステンに転化させ、これにより、タングステン層1327を成長させる。フィーチャがタングステン1327で完全に充填されるまで、堆積と転化反応を繰り返すことができる。非常にコンフォーマルで、粒子が小さく、平滑なタングステンを堆積させるため、薄くコンフォーマルなボロン(または他の還元材)とタングステンへの転化の複数のサイクルを用いることで、そうでなければ大きい粒子または不均一な粒成長となるCVD法を用いて形成され得るシームを、軽減することができる。一部の実現形態では、各サイクルで、約10nmまでの厚さのタングステン層を形成することができる。ボロンからタングステンへの転化に伴って体積の増加があり得る。
タングステン含有前駆体を還元して元素状タングステンを形成することが可能な層を、分解または反応により形成し得る任意のボロン含有化合物を、オペレーション1310およびその後のボロン堆積オペレーションで用いることができる。例として、Bnn+4、Bnn+6、Bnn+8、Bnmなどのボランが含まれ、ただし、nは1〜10の整数、mはnとは異なる整数である。また、他のボロン含有化合物、例えば、アルキルボラン、アルキルボロン、(CH32NB(CH22のようなアミノボラン、C2nn+2のようなカルボラン、B24のようなボランハライド、を用いることもできる。
いくつかの実現形態において、層1325は、シリコンまたはシリコン含有材料、リンまたはリン含有材料、ゲルマニウムまたはゲルマニウム含有材料、および水素などのタングステン前駆体を還元することが可能な任意の材料とすることができる。このような層を形成するために用いることができる前駆体の例として、SiH4、Si26、PH3、SiH2Cl2、GeH4が含まれる。タングステンによるフィーチャ充填においてボロンの転化を用いる別の例について、図18を参照して後述する。
図13Aを参照して説明した方法は、ジボランまたは他の還元剤を用いる従来のALDプロセスとは異なる。なぜなら、堆積されるコンフォーマルなボロン(または他の還元剤層)および結果的に得られるタングステン層が、従来のALD法で堆積されるものよりも著しく厚いからである。例えば、各ボロン層1325の厚さの例は、約1.5nm〜10nmの範囲、または一部の実現形態では、3nm〜10nm、もしくは5nm〜10nmの範囲とすることができる。
厚さの上限は、様々なプロセス条件でタングステンに転化させることが可能な最大厚さによって決定することができる。WF6を用いて、300℃〜400℃、40トールで転化させる場合、約10nmの限界が認められた。最大厚さは、温度、圧力、固体還元剤、タングステン前駆体に応じて変わり得る。例えば、より高い圧力および/または温度を用いることで、100nmまでの還元剤層を転化させることが可能となり得る。一部の実現形態では、各ボロン(または他の還元剤)層の厚さは、約5nm〜50nmの間、または10nm〜50nmの間とすることができる。
一部の実現形態では、タングステンへの転化の際に生じる体積膨張が、充填のために特に有用である。例えば、還元剤からタングステンに転化された各層は、還元剤層よりも約5%厚くなり得る。
一部の実現形態では、ボロンをエッチングストップとして用いて、タングステン充填を実施することができる。タングステンへの転化は、一部の実現形態では、約10nmに制限される場合があり、これによって、タングステンへの部分的転化を可能とすることができ、その後に続いて、フィーチャ充填を調整するため、ボロンに比してタングステンに選択的なエッチングが実施される。図13Bは、ボロン層がタングステンに部分的に転化されるとともにエッチングストップとして用いられる方法の一例におけるオペレーションを示すフロー図である。本方法は、フィーチャ内へのコンフォーマルなボロン堆積で開始する(1352)。様々な実現形態により、コンフォーマルなボロン堆積は、フィーチャ内への最初のタングステン堆積の前または後に実施することができる。様々な実現形態により、ボロンは、例えば、バリアまたはライナー層の表面、タングステン表面、またはこれらの表面の組み合わせの上に形成される。ブロック1352は、フィーチャをボロン含有化合物に暴露することを伴い得る。一部の実現形態では、ボロン含有化合物は、フィーチャ表面上で元素状ボロン(B)またはボロン含有層を形成するために、熱分解を受ける。また、ボロン層は、適当な化学反応によって堆積させることもできる。ボロン含有化合物の例は上記で提示している。
熱分解を用いてボロン(または他のコンフォーマル材)を堆積させる場合、ブロック1352での温度は、分解点よりも高い。例えば、B26の場合、温度は、250℃超である。例として、コンフォーマルなボロン堆積のために、B26を、450sccmの流量で、300℃、375℃、395℃、かつ40トールで用いて成功したが、これらと異なる流量、温度、および圧力を用いることもできる。ブロック1352は、所望の厚さのボロンが形成されるまでの、ボロン含有化合物の連続流またはパルス化を伴い得る。
次に、堆積されたボロンを、ボロン膜の一部を残したまま、部分的にタングステンに転化させる(1304)。ブロック1304は、一般に、ボロン層をタングステン含有前駆体蒸気に暴露することを伴い、ボロン層はこれと反応して、元素状タングステンを残す。六フッ化タングステンガスと固体ボロンとの反応の一例については、上記で提示している。温度は、自然反応が生じるようなものとする。例えば、タングステンへの転化のために、六フッ化タングステンを、400sccmの流量で、40トール、例えば、300℃および395℃で用いて成功した。転化されるボロンの量は、タングステン含有前駆体流の流量、圧力、温度、および継続時間に依存し得る。ただし、タングステンへの転化は、約10nmに制限される場合がある。従って、いくつかの実現形態において、10nmを超えるボロンが、ブロック1352で形成される場合には、上部の約10nmまでのボロンのみがタングステンに転化されて、ボロン−タングステン二重層が残る。
次に、タングステンは、ボロンに比して選択的にエッチングされる(1306)。一部の実現形態では、ボロンは、エッチングストップとして機能する。このようにして、フィーチャ充填を調整することができる。例えば、ボロン層は、図3A、3B、8、10に示す、フィーチャ充填においてエッチングストップとして機能する下層と同様に、用いることができる。それらの下層とは異なり、一部の実現形態では、残存するボロンは、エッチングプロセス後にタングステンに転化させることができる(1308)。このようにして、フィーチャのより多くの部分が、より低抵抗率の材料で占められる。一部の実現形態では、ブロック1302で、ボロンは、それぞれ約10nm厚までのボロンの層をタングステンに転化させる2回の転化オペレーションで、そのすべてがタングステンに転化され得るように、約20nm以下の厚さに形成される。同様に、他の一部の実現形態では、ボロンは、n×10nm以下の厚さに形成することができ、ここで、nは、実行されるエッチング工程の数である。図13Bを参照して説明したようなプロセスの一例については、図19を参照して後述する。
ボロンをエッチングストップとして用いるためのエッチング選択性の制御は、例えば、W:TiおよびW:TiNのエッチング選択性に関して上述したように、温度、流量、および他のパラメータを調整することを伴い得る。一例では、化学反応NF3→NFX+F*を用いる25℃のF系リモートプラズマエッチングを用いて、ボロンは、エッチングストップとして機能する。このような温度では、Wは、Bよりも高速で選択的にエッチングされ、このことは、B+3F*→BF3とW+6F*→WF6の反応熱力学に関連し得る。他のタイプのエッチングおよびエッチングケミストリを用いてもよい。
図13Bに記載した方法は、ボロンに代えて、または追加して、他の固体層で用いることもできる。例えば、シリコンまたはシリコン含有材料、リンまたはリン含有材料、ゲルマニウムまたはゲルマニウム含有材料を堆積させて、図13Aに関して上述したようなタングステン含有前駆体との反応によって、タングステンに部分的に転化させることができる。留意すべきことは、特定のプロセス条件を用いてWF6について約10nmの転化限界が観測されたが、他のタングステン含有化合物および/または他の還元剤については、実験的または理論的に決定することができるということである。従って、本明細書に記載の方法は、タングステンへの還元剤の部分的転化に先立って、堆積させる還元剤を増減して調整することができる。
フッ素フリーのタングステン(FFW)および窒化タングステン(FFWN)によるフィーチャ充填
図13Cおよび13Dは、フィーチャ充填においてフッ素フリー層を用いる例における、いくつかのオペレーションを示すフロー図である。タングステンおよびタングステン前駆体中のフッ素(F)は、さらなる集積工程において反応して、高反応性のフッ化水素酸(HF)を形成することがある。HFは、例えば、酸化物スタック内の酸化物を浸食するか、またはそれ以外のマイナスの影響を集積に及ぼし得る。
図13Cは、フィーチャ内にフッ素フリー窒化タングステン層を堆積させた後にフッ素フリータングステン層に転化させることが可能な一例を示している。最初に、フィーチャ内にフッ素フリー窒化タングステン層を堆積させる(1352)。一部の実現形態では、熱ALDまたはPNLプロセスによって窒化タングステン層を堆積させ、この場合、還元剤、タングステン含有前駆体、窒素含有反応物質を(様々な順序で)パルス化することで、コンフォーマルな窒化タングステン層をフィーチャ上に形成する。窒化タングステン膜を堆積させるためのALDおよびPNLプロセスの例は、米国特許第7005372号および米国仮特許出願第61/676123号に記載されており、これらの文献は共に、参照により本明細書に組み込まれる。
フッ素フリー層を堆積させるためには、一般に、すべての反応物質をフッ素フリーとする。一部の実現形態では、窒素含有化合物が還元剤として作用し、この場合、別の還元剤を用いても、用いなくてもよい。一部の実現形態では、タングステン含有前駆体は窒素を含むこともでき、この場合、別の窒素含有化合物を用いても、用いなくてもよい。
使用され得るフッ素フリータングステン前駆体の例として、W(CO)6と、さらに、W2(NMe26、W(OEt)6、W(OnPr)6、(tBuN=)2W(NMe22、(tBuN=)2W(NEtMe)2、W(Cp)22、W(NEt22(NEt)2、W(iPrCp)22、(tBuN=)2W(HNMe)2、W(EtCp)22、およびそれらの誘導体などの有機タングステン前駆体が含まれる。さらなる例として、プラクスエア社(Praxair)から入手可能なエチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン(EDNOW)、メチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン(MDNOW)、およびエチルシクロペンタジエニル−トリカルボニルヒドリド−タングステン(ETHW)と、さらには、以下の構造を有するタングステン−ビス(アルキルイミノ)ビス(アルキルアミノ)化合物が含まれる。
Figure 0006273257
ここで、それぞれのRは、メチル基、エチル基、プロピル基、ブチル基およびtert−ブチル基から独立に選択することができる。これらの基は、置換基または未置換基とすることができるが、典型的には未置換基である。例えば、タングステン含有前駆体は、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(W[N(C49)]2[N(CH322)である。
還元剤の例として、ボラン、シラン、H2、NH3、N24、N26、およびそれらの組み合わせが含まれる。窒素含有化合物の例として、N2、NH3、N24、N26が含まれる。一部の実現形態では、堆積される膜は、比較的少ない炭素を含むWN膜であり、例えば、約5原子%未満または約2原子%未満の炭素を含む。一部の実現形態では、ブロック1352において、ALDまたはPNL法に加えて、またはその代わりに、窒化タングステンを堆積させるCVD法を採用することができる。一例では、ブロック1352において、有機タングステン前駆体またはW(CO)6を用いた熱ALDを用いて、フィーチャをピンチオフさせることなく、WN層を堆積させることができる。
様々な実現形態において、アズデポジッションのFFWN膜中のW含有量は、約20%〜80%(原子)の範囲であり得る一方で、N含有量は、約10%〜60%原子の範囲である。上記のように、若干量の炭素が存在し得る。さらに、タングステン膜に関して後述するように、使用される特定の前駆体およびプロセスに応じて、酸素、ボロン、リン、硫黄、シリコン、ゲルマニウムなど、他の元素が存在し得る。上記で参照した米国仮特許出願第61/676123号では、例えば、三元WBN膜の堆積について解説している。
図13Cに戻って、次に、フッ素フリー窒化タングステンを、フッ素フリータングステンに転化させる(1354)。これは、一般に、少なくとも約600℃の温度で、例えば約5秒〜120秒の間の時間にわたる、窒化タングステンの熱アニールにより実施され、これによって、窒化タングステン膜中の窒素は、窒素ガス(N2)として除かれる。一部の実現形態では、ブロック1352は、揮発するN2ガスの流路を確保するために、フィーチャを封鎖することなく実施される。例えば、ピンチ点または狭窄部に少なくとも約1〜2nmの開口を残すことで、窒化タングステンを略すべてタングステンに転化させるためのアニールが可能となり得る。
窒化タングステン膜をタングステンに転化させたら、オプションとして、狭窄部を封鎖するため、および/またはフィーチャ充填を完了させるために、フッ素フリーのタングステン膜または窒化タングステン膜をさらに堆積させることができる(1358)。フッ素フリー窒化タングステン膜の堆積については、ブロック1352に関して上述した。フッ素フリータングステン膜の堆積は、熱ALDまたはPNLプロセスを用いて実施することができ、この場合、還元剤およびフッ素フリータングステン含有前駆体をパルス化することで、コンフォーマルなタングステン層をフィーチャ上に形成する。様々な実現形態により、インサイドアウト充填、リセスエッチング、エッチング・コンフォーマル性変調、ボロンの転化など、本明細書に記載の1つ以上の他の手法を用いることができ、これにより、一部の実現形態では、フッ素フリー前駆体および還元剤を用いて、ボイドフリーのフィーチャ充填を完了させる。他の一部の実現形態では、フィーチャ内にボイドを残したまま、フッ素フリーのWN層またはW層によってフィーチャをキャップすることができる。キャップ層は、ブロック1352で残されたガス流路を封鎖するために採用することができる。ブロック1358で、フッ素フリー窒化タングステン層が堆積される場合、それは、続いて、熱アニールにより元素状タングステンに転化させることができ、または転化されなくてもよい。一部の実現形態では、例えば、WN薄層(例えば、5Å)をWに転化させることは、特に効果的ではない場合がある。図13Cに従ったプロセスの一例について、図22を参照して後述する。
図13Cは、フッ素含有ガスを用いて堆積されたタングステン層を、フッ素フリータングステン含有層を用いて封止することができる方法の一例における、いくつかのオペレーションを示すフロー図である。最初に、フッ素含有化合物を用いて堆積されるタングステン層によって、フィーチャを部分的に充填する(1362)。ブロック1362は、タングステン核形成層を堆積させ、その後に続いて、六フッ化タングステンなどの前駆体を用いてバルクタングステン層を堆積させることを伴い得る。部分充填は、フィーチャの開口を維持したまま実施される。これによって、ブロック1364で、フィーチャからHFガスを排出させることが可能となり、また、一部の実現形態では、ブロック1368において、その層の上に、フッ素フリー層を堆積させることが可能となり得る。
次に、反応副生成物として生成されたHF(もしくは、他のフッ素含有ガス)またはその他、フィーチャ内にあるものを、排出させる(1364)。一部の実現形態では、若干のフッ素が、残りのタングステン膜の中に存在し得る。例えば、上述のようなフッ素フリーのタングステンもしくは窒化タングステン層を堆積させるか、またはフッ素フリータングステン前駆体によるボロンの転化を用いた、HFフリープロセスを用いてタングステン膜を封止することができる。様々な実現形態により、狭窄部をフッ素フリー膜で封鎖することができ、さらに/または、ブロック1362で堆積されたタングステン膜の露出面をフッ素フリー膜で覆うことができる。これによって、集積の際に、膜中に存在し得るフッ素がフッ化水素酸を形成することを防止できる。図13Dに関して説明した方法は、残存するフッ素が後の集積に影響を及ぼすことの防止を可能としつつ、フィーチャ内のタングステンの大部分をフッ素系プロセスによって効率的に堆積させるのに有用となり得る。
様々な実現形態により、ブロック1368で堆積される膜によって、狭窄部を封鎖すること、および/またはフィーチャ充填を完了させることができる。様々な実現形態により、インサイドアウト充填、リセスエッチング、エッチング・コンフォーマル性変調、ボロンの転化など、本明細書に記載の1つ以上の他の手法を用いることができ、これにより、一部の実現形態では、フッ素フリー前駆体および還元剤を用いて、ボイドフリーのフィーチャ充填を完了させる。
図13Cおよび図13Dを参照して上述した方法は、フッ素フリーのタングステンおよび窒化タングステンに関するものであるが、ハロゲンフリーのタングステン膜および窒化タングステン膜に一般化することができる。同様に、ブロック1362で堆積されるタングステンは、WCl6などのハロゲン含有前駆体を用いて堆積させることができる。
フィーチャ充填の例
以下、本発明の種々の態様について、VNANDワードライン(WL)充填の文脈で説明する。以下の解説は、種々の方法の枠組みを提示するものであるが、これらの方法は、そのように限定されることなく、ロジックおよびメモリのコンタクト充填、DRAM埋め込みワードライン充填、垂直集積メモリゲート/ワードライン充填、シリコン貫通ビア(TSV)による3D集積など、他の応用においても同様に実施することができる。以下で説明するプロセスは、タングステンビアおよびトレンチ充填など、1つ以上の狭窄部を有する水平向きまたは垂直向き構造に適用することができる。
上述の図1Fは、充填されるべきVNANDワードライン構造の一例を提示している。上述のように、このような構造のフィーチャ充填は、ピラーの配置によって示される狭窄部など、いくつかの課題を提示し得る。また、高フィーチャ密度に起因して、充填が完了する前に反応物質が使い果たされるようなローディング効果が生じることがある。WL(ワードライン)全体にわたるボイドフリー充填のための種々の方法について、以下で説明する。一部の実現形態では、低抵抗率のタングステンが堆積される。また、一部の実現形態では、膜は、HFの捕捉がない低F含有量のものである。一部の実現形態では、フィーチャ充填は、フィーチャ開口に充填が達する前に停止されることで、フィーチャが完全には充填されない場合がある。一例を、図17において1740に示している。
図14は、フィーチャ内において、コンフォーマル堆積オペレーションと、W:TiNエッチング選択性が高いエッチングとが、交互に実行される手順を示している。フィーチャ1401は、狭窄部1451と、両端部1455からアクセス可能であり得る内部領域1452と、を有する。図1Gに関して上述したように、図14は、3Dフィーチャの2Dレンダリングと見ることができ、同図は充填される領域の断面図を示すものであって、狭窄部1451は、ピラーに起因する狭窄部を、断面図ではなく平面図で見られるであろうもので示している。上記の図1Eおよび1Fは、ピラーがどのように配列され得るのかについての追加の表示を提供している。フィーチャは、下層1413を含み、それは図14の例では、TiN層であるが、任意の下層とすることができる。手順は、1410で、フィーチャの内部にボイド1412を残して、フィーチャを充填するための、タングステンのコンフォーマル堆積によって開始する。
次に、例えば、図2、7、または8を参照して上述したように、1420で、フィーチャ内にタングステン1403を残すように、下層のTiNに比してWに選択的なエッチングを実施する。残ったタングステン1403は、フィーチャ内部1455において、狭窄部1451の寸法に近いフィーチャ寸法を提供する。これによって、その後のコンフォーマル堆積におけるボイド形成を、抑制または解消することが可能となる。例えば、1430での別のコンフォーマル堆積において、1410で形成されたボイド1412よりも小さく、よりフィーチャ端部1455に近い2つのボイド1414が形成される。1440で実施される選択的エッチングによって、フィーチャ内にリエントラントがないようにフィーチャプロファイルを再成形して、ボイド1414を開口させることができる。1450での最後のコンフォーマル堆積によって、ワードラインのボイドフリー充填を提供することができる。なお、図示の例では、堆積オペレーションはコンフォーマルであって、フィーチャ内へのコンフォーマル核形成層の堆積を伴い得るということに留意すべきである。エッチング後に残される残存Wの正確なプロファイルは、具体的な実現形態によって異なり得る。
図15は、図14と同様の手順を示しているが、ただし、例えば、図3A〜4Bを参照して上述したようなインサイドアウト充填を提供するため、コンフォーマル堆積よりも、むしろ選択的堆積によるものである。プロセスは、1510で、例えば、PNL核形成層とCVD工程により、フィーチャをピンチオフさせるコンフォーマル堆積によって開始する。次に、1520で、狭窄部を開口させて、エッチングされたタングステン層1503を残すように、Wに選択的なエッチングを実施する。続いて、インサイドアウト充填を達成し、さらに狭窄部を越えるように、(典型的には、新たなコンフォーマル核形成層は用いることなく)タングステンの選択的堆積を実施する。フィーチャの内部領域1555を充填した後に狭窄部1551を越えるように用いられるCVD工程の進行を、1530と1540にそれぞれ示している。図示の例では、1550で、充填を完了させるために、コンフォーマル堆積を用いることができる。このコンフォーマル堆積は、フィーチャの未充填の両端部へのタングステン核形成層の堆積を伴って、その後に続いて、バルク堆積を実施することができる。一部の実現形態では、図15における最初の堆積とエッチングのオペレーション1510、1520の結果として得られるプロファイルは、図14のオペレーション1410、1420におけるものと類似している場合がある(またその逆も同様である)。また、一部の実現形態では、充填を終えるための堆積1450および/または1550は、上述のような選択的除去工程および/またはパッシベーション工程のうちの1つ以上を伴い得る。
図16は、図15に示すインサイドアウト成長プロセスの変形例を示している。図15におけるオペレーション1510と同様に、本プロセスは、1610で、例えば、PNL核形成層とCVD工程により、フィーチャをピンチオフさせるコンフォーマル堆積によって開始する。次に、1620で、狭窄部を開口させて、エッチングされたタングステン層1603を残すように、Wに選択的なエッチングを実施する。続いて、1630で、インサイドアウト充填を達成し、さらに狭窄部を越えるように、(典型的には、新たなコンフォーマル核形成層は用いることなく)タングステンの選択的堆積を実施する。エッチングされたタングステン層1603のプロファイルは、図15の1520で形成されたものとは異なり、このことは、選択的堆積の進行に影響を及ぼし得る。ただし、どちらの例においても、エッチングされたタングステン層は、後のCVDのためのシード層として機能し、インサイドアウト充填を促進する。1640で、充填を完了させるために、コンフォーマル堆積を用いることができる。これは、一部の実現形態において、上述のような選択的除去工程および/またはパッシベーション工程のうちの1つ以上を伴い得る。
図17は、選択的および非選択的なW/TiNエッチングを用いる手順を示している。最初に、1710で、ボイド1712を残して、フィーチャ内にコンフォーマルに膜を堆積させる。1720で、最初の選択的エッチングを用いてピンチ点の中までエッチングすることができ、続いて、1730で、WとTiNに対して非選択的なエッチングを実施する。残った膜を、1740で、Wの選択的堆積のためのシード層として用いることができる。
図18は、ボロン層をタングステンに転化させる手順を示している。本方法は、1810で、例えば、ジボランまたは他のボロン含有前駆体を用いて、ボロンを基板上に吸着させることによって開始することができる。その厚さは、ピンチオフ点付近で、例えば10nmの厚さとすることができる。上述のように、一部の実現形態では、10nmは、妥当な時間内でタングステンに転化される限界に近い場合がある。次に、1820で、元素状タングステンを形成するため、六フッ化タングステンまたは他のタングステン含有前駆体を、ボロン層で還元することができる。転化に伴う厚さ膨張があり得る(原子体積ベースで3.6%)。様々な実現形態により、転化によって、狭窄部より下のフィーチャを封鎖することができ、または封鎖しなくてもよい。一部の実現形態では、フィーチャ内にHFが捕捉されないように、転化の際に水素は全く使用されない。ボロン転化によるタングステンの中のF濃度は低い。いくつかの実現形態により、フィーチャは、タングステン核形成層を形成することなく、タングステンで充填することができる。また、一部の実現形態では、例えば図13Aに示すように、ボロンの堆積と転化のオペレーションを繰り返すことができる。さらに、一部の実現形態では、タングステンへの転化の後に続いて、フィーチャ充填を完了させるために、1つ以上のコンフォーマルもしくは選択的なタングステン堆積オペレーション、または堆積−エッチング−堆積・オペレーションを実施することができる。
図19は、タングステンへのボロンの転化に関わる別の手順を示している。図示の例では、ボロンは、タングステンのエッチングのためのエッチングストップとして用いられることと、タングステンに転化されることが、交互に繰り返される。インサイドアウト充填のための開始層を残すように、タングステンをエッチングすることができる。充填を完了するために、1つ以上のコンフォーマル堆積もしくは選択的堆積またはそれらの組合せを用いることができる。転化は、約10nmまでに自己制御され得るので、フィーチャのより深くのボロンは未転化のまま残されて、エッチングストップとして用いることができる。図19の例では、プロセスは、1910で、フィーチャ内への初期ボロン層1925のコンフォーマル堆積によって開始し、これにより、ボイド1912を残して、ピンチ点を封鎖する。ボロンを堆積させるために用いることができる化合物の例については上述した。次に、1920で、ボロン層1925の一部が、タングステン層1927aに転化される。上述のように、一部の実現形態では、ある限界(例えば、10nm)までのボロンが転化される。この部分的転化によって、残存ボロン層1925aが残される。次に、1930で、ボロン層1925aを残して、タングステン層1927aは選択的に除去される。ボロン層1925aは、その後、1940で、タングステンに部分的に転化されて、タングステン層1927bと残存ボロン層1925bを形成する。本例では、後のWの選択的エッチングにおいてフィーチャが切開されるように、ボロンは、まさにピンチ点を通る部分が転化される。他の一部の実現形態では、転化の自己制御性によってフィーチャを開口させるため、1回以上の追加の部分的転化/選択的エッチングを実施することができる。タングステン層1927bは選択的に除去され、その後のオペレーションで、残存ボロン層1925bは、タングステン層1927cに転化される。その後、タングステン層1927cは、(例えば、図16にあるような)フィーチャを充填するコンフォーマル堆積のため、または(例えば、図14にあるような)フィーチャを充填する選択的堆積のための、基礎となり得る。
図20は、参照により本明細書に組み込まれる米国特許出願第13/774350号に記載されている手順を示しており、この場合、非コンフォーマルな選択的抑制(選択的阻害)を用いて、ピンチオフに至らないうちにフィーチャ内部に充填する。解説されている選択的抑制法は、本明細書で記載する手法のうちの1つ以上と共に用いることができる。図20において、2010で、下層2013の上にタングステン核形成層2004をコンフォーマルに堆積させる。上述のようなPNLプロセスを用いることができる。なお、一部の実現形態では、コンフォーマル核形成層を堆積させる該オペレーションを省略してもよいということに留意すべきである。次に、2020で、部分2006の選択的抑制のため、フィーチャは抑制ケミストリ(抑制剤又は阻害剤)に暴露される。本例では、ピラー狭窄部2051を通る部分2008が、選択的抑制を受ける。抑制(阻害)は、例えば、N2、H2、フォーミングガス、NH3、O2、CH4などのガスから発生させたダイレクト(インサイチュ)プラズマへの暴露を伴い得る。フィーチャを抑制種に暴露する他の方法の詳細については後述する。次に、2030で、抑制プロファイルに従ってタングステンを選択的に堆積させるためにCVDプロセスを実施し、狭窄部の背後にある充填が難しい領域が充填されるように、核形成層2004の非抑制部分に優先的にバルクタングステン2008を堆積させる。次に、2040で、フィーチャの残り部分をバルクタングステン2009で充填する。タングステンの選択的堆積に用いたのと同じCVDプロセスを、フィーチャの残り部分に用いることができ、または、異なるケミストリもしくはプロセス条件を用いる異なるCVDプロセスであって、さらに/もしくは核形成層の堆積後に実行されるCVDプロセスを用いることもできる。
いくつかの実現形態により、上記の例のいずれかにおいて、様々な実現形態に応じてフィーチャ充填を調整するため、コンフォーマルまたは非コンフォーマルなエッチングを採用することができる。図21は、非コンフォーマルエッチングを用いたフィーチャ充填の一例を示している。図21の例では、2110で、PNL核形成とWのCVDを用いて、フィーチャ内にタングステンのコンフォーマル薄層2102を堆積させることができる。これに続いて、2120で、下層2113を保護するために高選択性の、非コンフォーマルエッチングが実施される。例えば、TiNの下層の場合に、図9Bを参照して説明したようなW:TiN選択性が高い非コンフォーマルエッチングを実施することができる。これによって、フィーチャの内部2153にタングステン層2002を残して、フィーチャ端部2155付近のそれは除去される。2130での別のタングステン薄層2103のCVDによるW堆積に続いて、別の非コンフォーマルなWの選択的エッチングが実施される。これらの堆積−エッチング−堆積・オペレーションを、2140で、フィーチャを充填するために繰り返すことができる。様々な実現形態により、その後の堆積オペレーションのそれぞれは、コンフォーマル堆積または選択的堆積のための核形成層の堆積を含むものであっても、そうでなくてもよい。一部の実現形態では、WのCVDにおいて、開口付近での成長を抑制するために、例えば、高電力での核形成遅延(パッシベーション)を利用することができる。
一部の実現形態では、タングステンによるフィーチャ充填におけるフッ素系処理およびフッ素含有副生成物を軽減するために、フッ素フリーのタングステン膜および窒化タングステン膜を用いることができる。図22は、フィーチャの充填において、熱ALDによるフッ素フリー窒化タングステン(FFWN)膜を、フッ素フリータングステン(FFW)に転化させることができる手順の一例を示している。この手順は、2210で、図13Cおよび13Dを参照して上述したような熱ALDまたはPNLによるFFWN層の堆積によって開始する。窒素ガスの逃がしを確保するため、FFWN層は、構造の内部を完全にはピンチオフすることなく、堆積される。その後、2220で、FFWNは、熱アニールにおいてN2ガスを出してFFWに転化される。図示の例では、その後、フィーチャ内部2253を封鎖するように、FFWNまたはFFWのキャップ層2208を堆積させる。キャップ層2208の厚さに応じて、FFWNキャップ層2208をFFWに転化させるように、熱アニールを実施することができる。一部の実現形態では、キャップ層2208が十分に薄く、タングステンで充填されたフィーチャ内に存在する窒素がごく少量となる場合があり、アニールは実施されない。なお、一部の実現形態では、フィーチャ内への層の堆積の前に、キャップ層2208によって内部2253が封鎖され得るということに留意すべきである。これは、図23を参照して後述する手順とは異なり、本プロセスではフッ素は使用されないので、フッ素制御的観点から許容される。図22に示す手順ではボイド2212が残るものの、代替的実現形態において、ボイドの軽減または解消のために、上記の1つ以上の手法を採用することができる。
図23は、タングステンによるフィーチャ充填において、フッ素を用いて堆積させた層を封止するために、熱ALDによるフッ素フリー窒化タングステン(FFWN)膜またはフッ素フリータングステン(FFW)膜を用いることができる手順の一例を示している。この手順は、2310で、フッ素(または他のハロゲン)含有化合物を用いたタングステン層2302のコンフォーマル堆積によって開始する。コンフォーマル堆積は、例えば、タングステン核形成層の堆積を伴って、その後に続いて、六フッ化タングステンまたは六塩化タングステンを用いてバルク堆積を実施することができる。堆積は、一部の実現形態では、ピンチ点2351において接近している側壁間に少なくとも5〜10nmを残して、ピンチする前に停止される。次に、2320で、HFなどのフッ素含有副生成物をすべて除去するために、ポンプダウン・オペレーションを実施する。次に、タングステン層2302を覆うように、FFWNまたはFFW層2308を堆積させる。これは、一部の実現形態では、タングステン層2302中に存在するフッ素の放出を防ぐ助けとするために、フィーチャ内部2353に層2308を堆積させることを含む。図23に示す手順ではボイド2312が残るものの、代替的実現形態において、ボイドの軽減または解消のために、上記の1つ以上の手法を採用することができる。
様々な実現形態により、上記のプロセスシーケンスにおいて記載されたエッチングは、図9Aおよび9Bに関して上述したように、所望のエッチングプロファイルに応じて、コンフォーマル性、やや非コンフォーマル性、または高い非コンフォーマル性とすることができる。例えば、ピンチオフしたフィーチャを切開するために用いられるエッチングでは、コンフォーマルエッチングが発生するプロセス条件を用いることができる。
核形成層の堆積
一部の実現形態において、本明細書で記載する方法は、バルク層の堆積に先立って、タングステン核形成層の堆積を伴う。核形成層は、一般的には、その上へのバルクタングステン含有材のその後の堆積を促すコンフォーマル薄層である。様々な実現形態により、フィーチャの充填において、フィーチャの何らかの充填前に、さらに/または次の点において、核形成層を堆積させることができる。例えば、一部の実現形態では、フィーチャ内のタングステンのエッチングの後に続いて、核形成層を堆積させることができる。
一部の実現形態では、核形成層は、パルス核形成層(PNL)法を用いて堆積される。PNL法では、還元剤、オプションのパージ用ガス、タングステン含有前駆体のパルスを、反応チェンバに順次注入し、そして反応チェンバからパージさせる。このプロセスは、所望の厚さが得られるまで、周期的に繰り返される。PNLは、原子層堆積(ALD)法など、半導体基板上での反応のための反応物質を順次加える任意の周期的プロセスを、広く具現化する。タングステン核形成層を堆積させるためのPNL法は、米国特許第6635965号、第7005372号、第7141494号、第7589017号、第7772114号、第7955972号、第8058170号、および米国特許出願公開第2010/0267235号に記載されており、これらの文献はすべて、その全体が参照により本明細書に組み込まれる。核形成層の厚さは、核形成層堆積法、ならびにバルク堆積の所望の品質に依存し得る。一般的には、核形成層は、高品質で均一なバルク堆積をサポートするのに十分な厚さである。例として、10Å〜100Åの範囲とすることができる。
PNLによる堆積の例については上記で提示しているが、本明細書で記載する方法は、タングステン核形成層の堆積の特定の方法に限定されるものではなく、PNL、ALD、CVD、物理気相成長(PVD:Physical Vapor Deposition)などの任意の方法で形成されたタングステン核形成層の上での、バルクタングステン膜の堆積が含まれる。また、一部の実現形態では、核形成層を用いることなく、バルクタングステンをフィーチャ内に直接堆積させることができる。例えば、一部の実現形態では、フィーチャ表面および/または堆積済みの下層によって、バルクタングステンの堆積をサポートする。一部の実現形態では、核形成層を用いないバルクタングステン堆積プロセスを実施することができる。例えば、2012年7月27日に出願された、参照により本明細書に組み込まれる米国特許出願第13/560688号では、核形成層を用いないバルクタングステン層の堆積について記載している。
様々な実現形態において、タングステン核形成層の堆積は、六フッ化タングステン(WF6)、六塩化タングステン(WCl6)、タングステンヘキサカルボニル(W(CO)6)などのタングステン含有前駆体への暴露を伴い得る。一部の実現形態では、タングステン含有前駆体は、WF6のようなハロゲン含有化合物である。有機金属前駆体、ならびにMDNOW(メチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン)およびEDNOW(エチルシクロペンタジエニル−ジカルボニルニトロシル−タングステン)などのフッ素フリー前駆体、を用いることもできる。
還元剤の例として、ジボラン(B26)および他のボランなどのボロン含有還元剤、シラン(SiH4)および他のシランなどのシリコン含有還元剤、ヒドラジン、およびゲルマンを含むことができる。一部の実現形態では、タングステン含有前駆体のパルスと、1種以上の還元剤のパルスを、例えばS/W/S/W/B/Wなど、交互に繰り返すことができ、ここで、Wはタングステン含有前駆体を表し、Sはシリコン含有前駆体を表し、Bはボロン含有前駆体を表す。一部の実現形態では、別個の還元剤を用いなくてもよく、例えば、タングステン含有前駆体に熱分解またはプラズマアシスト分解を施すことができる。
様々な実現形態により、バックグラウンド水素はあってもなくてもよい。また、一部の実現形態では、タングステン核形成層の堆積の後に続いて、バルクタングステン堆積の前に、1つ以上の処理オペレーションが実施されてもよい。堆積されたタングステン核形成層を、より低抵抗率に処理することが、例えば、参照により本明細書に組み込まれる米国特許第7772114号、第8058170号、および米国特許出願公開第2010/0267235号に記載されている。
バルク堆積
多くの実現形態において、バルクタングステン堆積はCVDプロセスによって実施され、この場合、還元剤およびタングステン含有前駆体を成長チェンバ内に流入させて、フィーチャ内にバルク充填層を堆積させる。不活性キャリアガスを用いて、1種以上の反応物流を供給することができ、それらは予混合されても、されなくてもよい。PNLまたはALDプロセスとは異なり、このオペレーションは、一般的に、所望の量が堆積されるまで、反応物質を連続的に流入させることを伴う。一部の実現形態では、CVD工程を多段階で実行することができ、この場合、連続的かつ同時の反応物流の複数の期間が、1種以上の反応物流が逸らされる期間によって区切られる。
種々のタングステン含有ガスを、タングステン含有前駆体として用いることができ、それには、WF6、WCl6、W(CO)6が含まれるが、これらに限定されない。一部の実現形態では、タングステン含有前駆体は、WF6のようなハロゲン含有化合物である。一部の実現形態では、還元剤は水素ガスであるが、シラン(SiH4)、ジシラン(Si26)、ヒドラジン(N24)、ジボラン(B26)、ゲルマン(GeH4)など、他の還元剤を用いることもできる。多くの実現形態では、CVDプロセスにおいて水素ガスが還元剤として用いられる。他の一部の実現形態では、分解してバルクタングステン層を形成することが可能なタングステン前駆体を用いることができる。また、バルク堆積は、ALDプロセスなど、他のタイプのプロセスを用いて実施することもできる。
温度の例は、200℃〜500℃の範囲とすることができる。様々な実現形態により、本明細書に記載のWのCVD工程のいずれかで、低温CVDによるW充填を、例えば、約250℃〜350℃、または約300℃で採用することができる。
堆積は、様々な実現形態により、特定のフィーチャプロファイルが得られるまで、さらに/または特定の量のタングステンが堆積されるまで、進めることができる。一部の実現形態では、堆積時間および他の関連パラメータは、モデル化および/または試行錯誤によって決定することができる。例えば、ピンチオフされるまでフィーチャ内にタングステンがコンフォーマルに堆積され得るインサイドアウト充填プロセスの最初の堆積の場合、ピンチオフが達成されるタングステンの厚さおよび対応する堆積時間をフィーチャ寸法に基づいて決定することは、単純であり得る。いくつかの実現形態において、堆積工程の終点検出のためのインサイチュ計測測定を実施するため、処理チェンバは各種センサを備えることができる。インサイチュ計測の例として、堆積された膜の厚さを測定するための光学顕微鏡法および蛍光X線(XRF:X−Ray Fluorescence)が含まれる。
理解されるべきことは、本明細書に記載のタングステン膜は、使用される特定の前駆体およびプロセスに応じて、窒素、炭素、酸素、ボロン、リン、硫黄、シリコン、ゲルマニウムなど、若干量の他の化合物、ドーパント、および/または不純物を含み得るということである。膜中のタングステン含有量は、20%〜100%(原子)タングステンの範囲とすることができる。多くの実現形態において、膜はタングステンリッチであって、少なくとも50%(原子)タングステン、またはさらに少なくとも約60%、75%、90%、もしくは99%(原子)タングステンを有する。一部の実現形態では、膜は、金属または元素タングステン(W)、および炭化タングステン(WC)、窒化タングステン(WN)などの他のタングステン含有化合物の混合であり得る。
これらの材料のCVDおよびALDによる堆積は、任意の適切な前駆体を使用することを含み得る。例えば、CVDおよびALDによる窒化タングステンの堆積は、さらなる詳細は後述するように、ハロゲン含有化合物、およびハロゲンフリータングステン含有化合物、および窒素含有化合物を使用することを含み得る。CVDおよびALDによるチタン含有層の堆積は、チタン含有前駆体の使用を含むことができ、例として、テトラキス(ジメチルアミノ)チタン(TDMAT)および塩化チタン(TiCl4)と、さらに適切であれば、1つ以上の共反応物が含まれる。CVDおよびALDによるタンタル含有層の堆積は、ペンタキスジメチルアミノタンタル(PDMAT)およびTaF5などの前駆体と、さらに適切であれば、1つ以上の共反応物の使用を含むことができる。CVDおよびALDによるコバルト含有層の堆積は、トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナト)コバルト、ビス(シクロペンタジエニル)コバルト、ジコバルトヘキサカルボニルブチルアセチレンなどの前駆体と、さらに1つ以上の共反応物の使用を含むことができる。CVDおよびALDによるニッケル含有層の堆積は、シクロペンタジエニルアリルニッケル(CpAllylNi)およびMeCp2Niなどの前駆体の使用を含むことができる。共反応物の例として、N2、NH3、N24、N26、SiH4、Si36、B26、H2、AlCl3を含むことができる。
タングステンエッチング
タングステンのエッチングは、タングステンと反応し得る1種以上のエッチャント種にタングステンを暴露することにより実行することができる。エッチャント種の例として、ハロゲン種およびハロゲン含有種が含まれる。タングステン含有材料を除去するために用いることができる初期エッチャント材の例として、三フッ化窒素(NF3)、テトラフルオロメタン(CF4)、テトラフルオロエチレン(C24)、ヘキサフルオロエタン(C26)、オクタフルオロプロパン(C38)トリフルオロメタン(CHF3)、クロロトリフルオロメタン(CF3Cl)、六フッ化硫黄(SF6)、および分子状フッ素(F2)が含まれる。一部の実現形態では、これらの種は、活性化されて、ラジカルおよび/またはイオンを含み得る。例えば、初期エッチャント材を、リモートプラズマ発生器を通して流入させるか、さらにまたはインサイチュ・プラズマに曝すことができる。一部の実現形態では、タングステンを、非プラズマエッチャント蒸気に暴露することができる。
上記の例に加えて、任意の周知のエッチャントケミストリを、タングステン非含有膜ならびにタングステン含有膜のエッチングに用いることができる。例えば、NF3などのフッ素含有化合物を、TiNおよびTiCなどのチタン含有化合物に対して用いることができる。Cl2およびBCl3などの塩素含有化合物を、一部の実現形態では、例えば、TiAl、TiAlN、ニッケル含有化合物、およびコバルト含有化合物をエッチングするために用いることができる。また、下記のエッチングは、主にプラズマおよび/または非プラズマ気相エッチングに言及しているが、一部の実現形態では、それらの方法は、ウェットエッチング法を用いて実施することもできる。
一部の実現形態では、リモート生成プラズマを用いることができる。初期エッチャント材と、さらに一部の実現形態では、アルゴン、ヘリウムなどの不活性ガスを、任意の適切なリモートプラズマ発生器に供給することができる。例えば、ASTRON(登録商標)i型AX7670、ASTRON(登録商標)e型AX7680、ASTRON(登録商標)ex型AX7685、ASTRON(登録商標)hf−s型AX7645などのリモートプラズマユニットを用いることができ、これらはすべて、マサチューセッツ州アンドーヴァーのMKSインスツルメンツ(MKS Instruments)から入手可能である。リモートプラズマユニットは、典型的には、供給されたエッチャントを用いて弱電離プラズマを生成する自己完結型のデバイスである。一部の実現形態では、高電力高周波(RF)発生器によって、プラズマ中の電子にエネルギーを与える。このエネルギーは、その後、中性エッチャント分子に伝達されて、これが2000Kのオーダの温度につながることで、これらの分子の熱解離が生じる。リモートプラズマユニットは、その高RFエネルギーと、該エネルギーの大部分をエッチャントに吸着させる特別なチャネル形状とによって、入来するエッチャント分子の60%超を解離させることができる。
一部の実現形態では、エッチングが実施されるチェンバ内にリモートプラズマユニットから供給される活性種は、ラジカルであって、イオン種は略含んでいない。エッチングに寄与しない少数のイオン種が存在し得ることは、当業者であれば理解できるであろう。この量は、検出できないほど十分に小さいものであり得る。一部の実現形態では、リモートプラズマユニットからチェンバ内に供給される活性種は、ラジカル種に加えて、相当数のイオン種を含むことがある。
一部の実現形態では、エッチング工程において、リモート生成プラズマに加えて、またはその代わりに、基板を収容しているチェンバ内でインサイチュで生成されるプラズマを用いることができ、これにより、タングステンを、ダイレクトプラズマに暴露する。一部の実現形態では、高周波(RF)プラズマ発生器を用いて、チェンバ内で2つの電極間にプラズマを発生させることができる。電極の例として、例えば、シャワーヘッドとペデスタルが含まれる。一例では、約1MHz〜100MHzの間の周波数で、約0W〜10000Wを供給することが可能な高周波数(HF)発生器を用いることができる。より具体的な一実現形態では、HF発生器は、約13.56MHzで、約0W〜5000Wを供給し得る。一部の実現形態では、約100kHz〜2MHzの間の、または約100kHz〜1MHzの間の、例えば400kHzの周波数で、約0W〜10000Wを供給することが可能な低周波数(LF)発生器を用いることができる。
プラズマ発生器は、容量結合プラズマ(CCP)発生器、誘導結合プラズマ(ICP)発生器、トランス結合プラズマ(TCP)発生器、電子サイクロトロン共鳴(ECR)発生器、またはヘリコンプラズマ発生器とすることができる。RF源の他に、マイクロ波源を用いることができる。
様々な実現形態により、エッチングオペレーションの一部またはすべてを、堆積および/または処理オペレーションなどの他のオペレーションが実施されるのと同じチェンバ内で、または専用のエッチングチェンバ内で、実施することができる。専用のエッチングチェンバが使用される場合、それは、他の1つ以上の処理チェンバと同じ真空環境に接続されるか、または別個の真空環境の一部とすることができる。例えば、ラムリサーチ社(Lam Research Corporation)から入手可能なKiyo(登録商標)導体エッチングモジュールのようなTCPエッチングモジュールを、一部の実現形態において使用することができる。そのようなモジュールで用いることができるエッチャントの例として、NF3、CF4、SF6、CH3F、CH22、CF4が含まれる。動作圧力の例は、30ミリトール〜100ミリトールの範囲とすることができる。温度の例は、30℃〜120℃の範囲とすることができる。
様々な実現形態において、エッチングは、堆積されたタングステンの特定の特徴が除去されるまで、または特定のプロファイルが得られるまで、行われる。例えば、上述のインサイドアウト充填法では、ピンチオフしたタングステンが除去されるまで、またはシームが除去されるまで、エッチングを進めることができる。いくつかの実現形態において、具体的なエッチングプロセスパラメータに対して、エッチング終点は、具体的なフィーチャジオメトリならびにエッチング対象の堆積済みタングステンのプロファイルおよび量についてのモデル化および/または試行錯誤によって決定することができる。いくつかの実現形態において、除去の程度を確認するインサイチュ計測測定を実施するため、処理チェンバは各種センサを備えることができる。インサイチュ計測の例として、膜の厚さを測定するための光学顕微鏡法およびXRFが含まれる。さらに、フッ化タングステン(WFx)またはエッチング中に生成される他の副生成物の量を検出するために、赤外(IR)分光法を用いることができる。一部の実現形態では、下層をエッチングストップ層として用いることができる。また、エッチングを監視するために、発光分光法(OES:Optical Emission Spectroscopy)を用いることもできる。様々な実現形態により、タングステンのエッチングは、程度の差はあるものの、下層に比して優先的(または非優先的)とすることができる。例えば、エッチングは、エッチングストップとして機能する例えばTiまたはTiNの下層を有するWに対して優先的であり得る。一部の実現形態では、エッチングは、下の誘電体がエッチングストップとして機能して、WおよびTiまたTiNをエッチングするものであり得る。下層に対するエッチング選択性を調整する方法については上述した。
また、様々な実現形態により、エッチングオペレーションのコンフォーマル性を変調することもできる。コンフォーマルエッチングは、フィーチャ全体にわたって材料が均一に除去されるエッチングである。エッチング・コンフォーマル性を変調する方法については上述した。一部の実現形態では、エッチング・コンフォーマル性を変調することは、物質移動律速領域で作用させること、または物質移動律速領域では作用させないこと、を含み得る。そのような領域では、フィーチャ内での除去速度は、フィーチャ内に拡散する様々なエッチング材成分(例えば、初期エッチャント材、活性エッチャント種、および再結合エッチャント種)の量および/または相対組成によって制限される。一部の例では、エッチング速度は、フィーチャ内部の様々な位置における様々なエッチャント成分の濃度に依存する。なお、「エッチング」と「除去」という用語は、区別なく使用されるということに留意すべきである。
参照により本明細書に組み込まれる米国特許出願第13/016656号に記載されているように、物質移動律速条件は、全体的なエッチャント濃度バリエーションによって、部分的に特徴づけることができる。一部の実施形態において、その濃度は、フィーチャの内部では、その開口付近よりも低く、その結果、エッチング速度は、内部よりも開口付近で高くなる。これが、ひいては、選択的除去につながる。いくらかのエッチャントがフィーチャ内に拡散するときに消費されるように、比較的高いエッチング速度を維持しつつ、制限された量のエッチャントを処理チェンバに供給する(例えば、キャビティのプロファイルおよび寸法に対して相対的に低いエッチャント流量を用いる)ことによって、物質移動律速プロセス条件が達成され得る。一部の実施形態では、濃度勾配がかなりのものであり、それは、比較的高いエッチング反応速度と、比較的低いエッチャント供給に起因し得る。一部の実施形態では、開口付近のエッチング速度も、物質移動律速となることがあるものの、このような条件は、選択的除去を達成するために必要ではない。
エッチング・コンフォーマル性は、高アスペクト比フィーチャの内部の全体的なエッチャント濃度バリエーションの他に、フィーチャ全体にわたる様々なエッチャント成分の相対濃度による影響を受ける場合がある。そして、これらの相対濃度は、エッチング種の解離および再結合のプロセスの相対力学に依存する。初期エッチャント材は、典型的には、リモートプラズマ発生器を通して供給されること、および/またはインサイチュ・プラズマに曝されることで、活性エッチャント種(例えば、フッ素原子、ラジカル)を生成する。一方、活性種は、再結合して、より活性の低い再結合エッチング種(例えば、フッ素分子)になる傾向があり、さらに/または、それらの拡散経路に沿ったタングステン含有材と反応する傾向がある。このようにして、堆積されたタングステン含有層の様々に異なる部分が、様々に異なる濃度の様々に異なるエッチャント材である例えば初期エッチャント、活性エッチャント種、および再結合エッチャント種に、暴露され得る。これによって、エッチング・コンフォーマル性を制御するための追加の機会が与えられる。
例えば、活性フッ素種は、一般に、タングステン含有材との反応性が、初期エッチング材および再結合エッチング材よりも高い。さらに、活性フッ素種は、一般に、再結合フッ素種よりも温度変化の影響を受けにくい可能性がある。そこで、いくつかの実現形態において、除去が主として活性フッ素種によるものとなるように、主として再結合種によるものとなるように、またはフッ素と再結合種の両方を含むように、プロセス条件を制御することができる。また、特定のプロセス条件によって、結果的に、活性フッ素種が、フィーチャ内部よりもフィーチャ開口付近において、より高濃度で存在することになり得る。例えば、一部の活性種は、消費される(例えば、堆積済み材料と反応する、および/もしくはその表面に吸着される)ことがあり、さらに/または、特に小さい高アスペクト比フィーチャにおいて、より深くフィーチャ内に拡散する間に再結合することがある。なお、留意すべきことは、活性種の再結合は、高アスペクト比フィーチャの外でも、例えば処理チェンバのシャワーヘッドにおいて生じ、それはチェンバ圧力に依存するということである。そこで、チェンバおよびフィーチャの様々な点における活性エッチング種の濃度を調整するように、チェンバ圧力を制御することができる。
エッチャントの流量は、一般に、チェンバのサイズ、エッチング速度、エッチング均一性、および他のパラメータに依存する。例えば、流量は、フィーチャ内部よりも開口付近でより多くのタングステン含有材が除去されるように、またはフィーチャもしくはフィーチャの一部にわたってタングステン含有材が均一に除去されるように、選択することができる。例えば、ステーションごとに195リットルのチェンバの場合の流量は、約25sccm〜10000sccmの間、または、より具体的な実施形態では、約50sccm〜1000sccmの間とすることができる。一部の実施形態では、流量は、約2000sccm未満、約1000sccm未満、または、より具体的には、約500sccm未満である。これらの値は、300mmウェハの処理用に構成された個々の1つのステーションについて提示されたものであるということに留意すべきである。これらの流量は、基板サイズ、装置内のステーション数(例えば、4ステーション装置の場合は4倍にする)、処理チェンバの容積、および他の因子に応じて、増減させるようにスケーリングすることが可能である。
基板温度は、堆積層と各種エッチャント種との間の化学反応を誘起するとともに、それらの間の反応速度を制御するように、選択することができる。例えば、フィーチャ内部よりも開口付近でより多くの材料が除去されるような高除去速度となるように、または材料がフィーチャ内から除去されるような低除去速度となるように、温度を選択することができる。また、活性種の再結合(例えば、原子状フッ素が分子状フッ素となる再結合)を制御するように、さらに/または、どの種(例えば、活性種または再結合種)が主としてエッチングに寄与するのかを制御するように、温度を選択することもできる。基板温度は、エッチャントの化学組成、所望のエッチング速度、活性種の望ましい濃度分布、様々な種による選択的除去への望ましい寄与度、および他の材料パラメータおよびプロセスパラメータに基づいて選択することができる。一部の実施形態では、基板は、約300℃未満に、または、より具体的には、約250℃未満に、または約150℃未満に、または約100℃よりさらに低く、維持される。他の実施形態では、基板は、約300℃〜450℃の間に、または、より具体的な実施形態では、約350℃〜400℃の間に、加熱される。これらの温度範囲は、F系エッチングの場合について提示するものであるが、異なる種類のエッチャントの場合には、他の温度範囲を用いることができる。
活性フッ素種の活性化エネルギーは、再結合フッ素のそれよりも、はるかに小さい。従って、基板温度を低くすることで、結果的に、活性種による除去への寄与がより大きくなり得る。一部の特定の温度(および、例えば、流量およびチェンバ圧力など、他のプロセス条件)では、活性種の相対的な除去寄与度は、再結合種のそれを上回ることがある。
また、フィーチャ内の材料の分布を、そのステップカバレッジによって特徴づけることもできる。本説明の目的では、「ステップカバレッジ」は、2つの厚さの比として、すなわち、フィーチャ内部の材料の厚さを開口付近の材料の厚さで除算したものとして定義される。本明細書の目的では、「フィーチャ内部」という表現は、フィーチャ軸に沿ったフィーチャの中間点あたりに位置するフィーチャの中間部分を表し、例えば距離の約25%〜75%の間の領域、または一部の実施形態では、フィーチャの開口から測定したフィーチャの深さに沿った距離の約40%〜60%の間の領域、または開口から測定したフィーチャ軸に沿った距離の約75%〜95%の間に位置するフィーチャの端部、を表す。「フィーチャの開口付近」または「フィーチャ開口付近」という表現は、開口のエッジまたは開口のエッジを表す他の要素から25%以内、または、より具体的には10%以内に位置するフィーチャの頂部を表す。例えば、フィーチャ開口におけるよりも、フィーチャの中間部または底部付近において、より幅広にフィーチャを充填することにより、100%を超えるステップカバレッジを達成することができる。
上述のように、エッチング後の層が、フィーチャの具体的なアーキテクチャに応じた目標ステップカバレッジを有するように、エッチング・コンフォーマル性を変調することができる。いくつかの実施形態において、エッチング層の目標とされるステップカバレッジは、少なくとも約60%、75%、100%、または125%のようなスーパーコンフォーマル(100%超)である。一部の実施形態では、約50%未満、25%未満、またはさらに低いステップカバレッジが目標とされることがある。
タングステン核形成の選択的抑制
参照により本明細書に組み込まれる米国特許出願第13/774350号に記載されているように、選択的抑制は、フィーチャ表面をパッシベートする活性種への暴露を伴い得る。例えば、一部の実現形態では、タングステン(W)表面を、窒素系または水素系プラズマへの暴露によってパッシベートすることができる。一部の実現形態では、抑制は、窒化タングステン(WN)または炭化タングステン(WC)などの化合物材料の薄層を形成するための、活性種とフィーチャ表面との間の化学反応を伴い得る。一部の実現形態では、抑制は、化合物材料の層を形成することなく表面をパッシベートする吸着などの表面効果を伴い得る。活性種は、プラズマ生成、および/または紫外線(UV)放射への暴露によるなど、いずれかの適切な方法により形成することができる。一部の実現形態では、フィーチャを含む基板は、基板が載置されたチェンバ内に供給される1種以上のガスから発生させたプラズマに暴露される。一部の実現形態では、1種以上のガスをリモートプラズマ発生器に供給することができ、リモートプラズマ発生器内で形成された活性種は、基板が載置されたチェンバ内に供給される。プラズマ源は、高周波(RF)プラズマ源またはマイクロ波源など、任意の種類のプラズマ源とすることができる。プラズマは、誘導結合および/または容量結合によるものとすることができる。活性種には、原子種、ラジカル種、イオン種を含むことができる。一部の実現形態では、リモート生成プラズマへの暴露には、ラジカル種および原子種への暴露が含まれ、このとき、抑制プロセスがイオン媒介によるものとならないように、プラズマ中にイオン種は略存在しない。他の実現形態では、リモート生成プラズマ中にイオン種が存在し得る。一部の実現形態では、インサイチュ・プラズマへの暴露は、イオン媒介による抑制を伴う。
タングステン(W)表面の場合は、窒素系および/または水素系プラズマへの暴露によって、その後のW表面へのタングステン堆積が抑制される。タングステン表面での抑制のために用いることができる他のケミストリには、酸素系プラズマおよび炭化水素系プラズマが含まれる。例えば、分子状酸素またはメタンを、プラズマ発生器に導入することができる。本明細書で使用される場合の窒素系プラズマとは、主な非不活性成分が窒素であるプラズマである。アルゴン、キセノン、またはクリプトンなどの不活性成分を、キャリアガスとして用いることができる。一部の実現形態では、他の非不活性成分は、微量の場合を除き、プラズマを生成するガス中に存在しない。一部の実現形態では、抑制ケミストリ(抑制剤又は阻害剤)は、プラズマ中に1種以上の追加反応種が存在する、窒素含有、水素含有、酸素含有、および/または炭素含有のものとすることができる。
例えば、米国特許出願第13/351970号では、表面を選択的にパッシベートするための、フィーチャ表面の窒化について記載している。例えば、NF3プラズマを用いると、この場合、フィーチャ開口で、活性フッ素ラジカルがタングステンと反応してこれを除去し、NF3プラズマから生成される窒素がタングステン表面の窒化を引き起こし得ることで、窒化タングステンを形成する。その後のタングステンの堆積は、窒化表面上では、通常のバルクタングステン膜上と比較して、著しく遅れる。遅延が大きいほど、フィーチャは、ピンチオフする前に、より長い間、開口したままとなることが可能となり、より多くのWF6分子がフィーチャ内部に到達してタングステンを堆積させ得ることで、充填の向上が促される。これを図11に例示しており、同図は、オーバハング1115を有する部分充填されたフィーチャ1101を示している。NF3プラズマエッチングの際に、フィーチャの頂部付近の1103には、フィーチャ内のさらに奥の1105よりも、多くの窒素種(例えば、窒素ラジカル)が存在する。その結果、フィーチャ頂部の、ただしフィーチャ内部に、WNが形成される。充填を完了させる際に、フィーチャ内のタングステン(W)表面上には、フィーチャ頂部のWN表面上よりも、容易にタングステンが堆積する。これによって、フィーチャ1101は、より長い間、1107において開口したままとなることが可能であり、充填の向上が促される。
NF3の他に、CF4またはC28などのフルオロカーボンを用いることもできる。一方、一部の実現形態では、抑制種は、選択的抑制の際のエッチングを防ぐためにフッ素フリーのものである。
一部の実現形態では、活性種を提供するために、プラズマ発生器の代わりに、またはそれに加えて、UV放射および/または熱エネルギーを用いることができる。タングステン表面の他に、TiNおよび/またはWNの表面など、ライナー/バリア層の表面での核形成を抑制することができる。これらの表面をパッシベートする任意のケミストリを用いることができる。TiNおよびWNの場合、これには、窒素系または窒素含有のケミストリへの暴露が含まれ得る。一部の実現形態では、Wについて上述したケミストリを、TiN、WN、または他のライナー層の表面にも採用することができる。
抑制プロファイルを調整することは、抑制ケミストリ、基板バイアス電力、プラズマ電力、処理圧力、暴露時間、および他のプロセスパラメータを適切に制御することを伴い得る。インサイチュ・プラズマプロセス(またはイオン種が存在する他のプロセス)における場合には、基板にバイアスを印加することができる。基板バイアスは、一部の実現形態では、バイアス電力の増加に伴って活性種がフィーチャ内でより深くに及ぶことにより、抑制プロファイルに顕著に影響し得る。横方向の選択性が要求される(構造の内側でのタングステン堆積が望ましい)が、垂直方向には要求されない3D構造の場合には、上下の堆積均一性を向上させるために、バイアス電力を高くして用いることができる。
一部の実現形態では、バイアス電力を、イオン種の場合に抑制プロファイルを調整するための主たる、または唯一のノブ(調整手段)として用いることができるが、一方、状況によっては、選択的抑制の実施において、バイアス電力に追加して、またはその代わりに、他のパラメータが用いられる。これらには、リモート生成非イオンプラズマプロセスおよび非プラズマプロセスが含まれる。また、多くのシステムでは、選択性を、横方向にではなく垂直方向に調整するために、基板バイアスを印加することが容易に可能である。従って、横方向の選択性が要求される3D構造の場合には、上述のように、バイアス以外のパラメータを制御することができる。
また、抑制ケミストリは、異なる比率の活性抑制種を用いて、抑制プロファイルを調整するように用いることもできる。例えば、W表面の抑制の場合、窒素は、水素よりも強い抑制効果を持つ場合があり、フォーミングガス系プラズマにおけるN2とH2ガスの比率の調整を用いて、プロファイルを調整することができる。また、プラズマ電力を用いて、プラズマ電力により調整される活性種の異なる比率によって、抑制プロファイルを調整することもできる。例えば、本明細書に記載の一部の実現形態では、プラズマ電力を変化させることによって、窒素ラジカルの形成と、その結果得られるWN形成ならびに関連するパッシベーション効果を、変調することができる。また、プラズマ電力を変化させることによって、最終的なW膜の抵抗率の制御も可能となり得る。図12は、エッチングパワーを変化させることにより、その後の堆積の遅延時間制御が可能であることを示すグラフである。「高」と「低」の間の任意のパワーを用いて、要求通りに遅延を制御できることが分かる。図12において、低パワーで、NF3を用いたリモート生成プラズマによるエッチングの結果、より高パワーのリモート生成プラズマによるエッチングの場合よりも、その後の堆積における核形成遅延が低減した(より高速の核形成)。これは、高パワーのプラズマエッチングでは、より多くの窒素種が存在して、WNの形成ならびにその後の遅延が増加することに起因し得る。
圧力によって、より多くの再結合(活性種の不活性化)が生じ得るとともに、活性種がより一層フィーチャ内に押し込まれ得るので、処理圧力を用いてプロファイルを調整することができる。また、処理時間を用いて抑制プロファイルを調整することもでき、処理時間を増やすことで、抑制はフィーチャ内のより深くに及ぶ。
一部の実現形態では、選択的抑制は、物質移動律速領域で達成することができる。この領域では、フィーチャ内部での抑制速度が、フィーチャ内に拡散する様々な抑制材成分(例えば、初期抑制種、活性抑制種、および再結合抑制種)の量および/または相対組成によって制限される。一部の例では、抑制速度は、フィーチャ内部の様々な位置における様々な成分の濃度に依存する。
物質移動律速条件は、全体的な抑制濃度バリエーションによって、部分的に特徴づけることができる。一部の実現形態において、濃度は、フィーチャの内部では、その開口付近よりも低く、その結果、抑制速度は、内部よりも開口付近で高くなる。これが、ひいては、フィーチャ開口付近での選択的抑制につながる。いくらかの活性種がフィーチャ内に拡散するときに消費されるように、フィーチャ開口付近で比較的高い抑制速度を維持しつつ、制限された量の抑制種を処理チェンバに供給する(例えば、キャビティのプロファイルおよび寸法に対して相対的に低い抑制ガス流量を用いる)ことによって、物質移動律速プロセス条件が達成され得る。一部の実現形態では、濃度勾配がかなりのものであり、それは、比較的高い抑制反応速度と、比較的低い抑制供給に起因し得る。一部の実現形態では、開口付近の抑制速度も、物質移動律速となることがあるものの、このような条件は、選択的抑制を達成するために必要ではない。
選択的抑制は、フィーチャ内部の全体的な抑制濃度バリエーションの他に、フィーチャ全体にわたる様々な抑制種の相対濃度による影響を受ける場合がある。そして、これらの相対濃度は、抑制種の解離および再結合のプロセスの相対力学に依存し得る。上述のように、分子状窒素などの初期抑制材は、リモートプラズマ発生器を通して供給されること、および/またはインサイチュ・プラズマに曝されることで、活性種(例えば、原子状窒素、窒素イオン)を生成することができる。一方、活性種は、再結合して、より活性の低い再結合種(例えば、窒素分子)になることがあり、さらに/または、それらの拡散経路に沿ったW、WN、TiN、もしくは他のフィーチャ表面と反応し得る。このようにして、フィーチャの様々に異なる部分が、様々に異なる濃度の様々に異なる抑制材である例えば初期抑制ガス、活性抑制種、および再結合抑制種に、暴露され得る。これによって、選択的抑制を制御するための追加の機会が与えられる。例えば、活性種は、一般に、初期抑制ガスおよび再結合抑制種よりも反応性が高い。さらに、場合によって、活性種は、再結合種よりも温度変化の影響を受けにくい可能性がある。そこで、除去が主として活性種によるものとなるように、プロセス条件を制御することができる。上記のように、一部の種は、他のものよりも反応性が高い場合がある。また、特定のプロセス条件によって、結果的に、活性種が、フィーチャ内部よりもフィーチャ開口付近において、より高濃度で存在することになり得る。例えば、一部の活性種は、消費される(例えば、フィーチャ表面材と反応する、および/もしくは表面に吸着される)ことがあり、さらに/または、特に小さい高アスペクト比フィーチャにおいて、より深くフィーチャ内に拡散する間に再結合することがある。また、活性種の再結合は、フィーチャの外でも、例えばシャワーヘッドまたは処理チェンバ内において生じる可能性があり、それはチェンバ圧力に依存し得る。そこで、チェンバおよびフィーチャの様々な点における活性種の濃度を調整するように、チェンバ圧力を具体的に制御することができる。
抑制ガスの流量は、チェンバのサイズ、反応速度、および他のパラメータに依存し得る。流量は、より多くの抑制材がフィーチャ内部よりも開口付近に集中するように、選択することができる。一部の実現形態では、そのような流量によって、物質移動律速による選択的抑制を発生させる。例えば、ステーションごとに195リットルのチェンバの場合の流量は、約25sccm〜10000sccmの間、または、より具体的な実現形態では、約50sccm〜1000sccmの間とすることができる。一部の実現形態では、流量は、約2000sccm未満、約1000sccm未満、または、より具体的には、約500sccm未満である。これらの値は、300mm基板の処理用に構成された個々の1つのステーションについて提示されたものであるということに留意すべきである。これらの流量は、基板サイズ、装置内のステーション数(例えば、4ステーション装置の場合は4倍にする)、処理チェンバの容積、および他の因子に応じて、増減させるようにスケーリングすることが可能である。
一部の実現形態では、選択的抑制の前に、基板を加熱または冷却することができる。基板の所定温度は、フィーチャ表面と抑制種との間の化学反応を誘起し、さらに/または抑制種の吸着を促進するように、さらには反応または吸着の速度を制御するように、選択することができる。例えば、フィーチャ内部よりも開口付近で抑制がより多く生じるような高反応速度となるように、温度を選択することができる。また、活性種の再結合(例えば、原子状窒素が分子状窒素となる再結合)を制御するように、さらに/または、どの種(例えば、活性種または再結合種)が主として抑制に寄与するのかを制御するように、温度を選択することもできる。一部の実現形態では、基板は、約300℃未満に、または、より具体的には、約250℃未満に、または約150℃未満に、または約100℃よりさらに低く、維持される。他の実現形態では、基板は、約300℃〜450℃の間に、または、より具体的な実現形態では、約350℃〜400℃の間に、加熱される。異なる種類の抑制ケミストリの場合には、他の温度範囲を用いることができる。また、暴露時間も、選択的抑制を発生させるように選択することができる。暴露時間の例は、所望の選択性およびフィーチャ深さに応じて、約10s〜500sの範囲とすることができる。
[装置]
新規の本方法を実施するために、任意の適切なチェンバを使用することができる。堆積装置の例として様々なシステムが含まれ、例えば、カリフォルニア州サンノゼのノベラス・システムズ社(Novellus Systems Inc.)から入手可能なALTUSおよびALTUS Max、または他の様々な市販の処理システムのいずれかである。
図24は、いくつかの実施形態により半製品の半導体基板を処理するための装置2400の模式図を示している。装置2400は、ペデスタル2420を有するチェンバ2418と、シャワーヘッド2414と、インサイチュ・プラズマ発生器2416と、を備える。装置2400は、さらにシステムコントローラ2422を備え、これは、入力を受け取り、さらに/または制御信号を各種デバイスに供給するためのものである。
エッチャントと、さらに一部の実施形態では、アルゴン、ヘリウムなどの不活性ガスが、貯蔵タンクであり得る供給源2402からリモートプラズマ発生器2406に供給される。エッチャントをチェンバ2418内に導入する前にそれを活性化するため、任意の適切なリモートプラズマ発生器を用いることができる。例えば、ASTRON(登録商標)i型AX7670、ASTRON(登録商標)e型AX7680、ASTRON(登録商標)ex型AX7685、ASTRON(登録商標)hf−s型AX7645などのリモートプラズマクリーニング(RPC)ユニットを用いることができ、これらはすべて、マサチューセッツ州アンドーヴァーのMKSインスツルメンツ(MKS Instruments)から入手可能である。RPCユニットは、典型的には、供給されたエッチャントを用いて弱電離プラズマを生成する自己完結型のデバイスである。RPCユニットに組み込まれた高電力RF発生器によって、プラズマ中の電子にエネルギーを与える。このエネルギーは、その後、中性エッチャント分子に伝達されて、これが2000Kのオーダの温度につながることで、これらの分子の熱解離が生じる。RPCユニットは、その高RFエネルギーと、該エネルギーの大部分をエッチャントに吸着させる特別なチャネル形状とによって、入来するエッチャント分子の60%超を解離させることができる。
一部の実施形態では、エッチャントは、リモートプラズマ発生器2406から接続ライン2408を介してチェンバ2418内に流入し、そこで混合されたものが、シャワーヘッド2414を介して分配される。他の実施形態では、エッチャントは、リモートプラズマ発生器2406を全く通ることなく(例えば、システム2400が、そのような発生器を備えていない)、チェンバ2418内に直接流入する。あるいは、エッチャントをチェンバ2418内に流入させる際に、例えば、エッチャントの活性化が不要であるという理由で、リモートプラズマ発生器2406がオフにされる場合がある。
シャワーヘッド2414またはペデスタル2420は、一般に、それに接続された内部プラズマ発生器2416を有し得る。一例では、発生器2416は、約1MHz〜100MHzの間の周波数で、約0W〜10000Wを供給することが可能な高周波数(HF)発生器である。より具体的な一実施形態では、HF発生器は、約13.56MHzで、約0W〜5000Wを供給し得る。RF発生器2416は、初期タングステン層の除去を促進するためのインサイチュ・プラズマを生成することができる。一部の実施形態では、プロセスの除去オペレーションにおいて、RF発生器2416は使用されない。
チェンバ2418は、堆積およびエッチングの程度、濃度、圧力、温度など、各種プロセスパラメータを検知するためのセンサ2424を含み得る。センサ2424は、プロセス中のチェンバ条件に関する情報を、システムコントローラ2422に提供することができる。センサ2424の例として、マスフローコントローラ、圧力センサ、熱電対などが含まれる。センサ2424は、さらに、チェンバ内のガスの存在の監視および制御対策のための赤外線検出器または光検出器を含み得る。
堆積および選択的除去オペレーションによって様々な揮発性種が生成され、これらはチェンバ2418から排出される。また、処理は、チェンバ2418において、いくつかの所定の圧力レベルで実施される。これらの機能は共に、真空ポンプとすることができる真空排出2426を用いて達成される。
いくつかの実施形態において、システムコントローラ2422は、プロセスパラメータを制御するために採用される。システムコントローラ2422は、一般に、1つ以上のメモリデバイスと、1つ以上のプロセッサと、を備える。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッピングモータ・コントローラボードなどを含むことができる。一般的には、システムコントローラ2422に関連付けられたユーザインタフェースが設けられる。ユーザインタフェースとして、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェア表示と、さらに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力装置を含むことができる。
いくつかの実施形態において、システムコントローラ2422は、基板温度、エッチャント流量、リモートプラズマ発生器2406の電力出力、チェンバ2418内の圧力、および他のプロセスパラメータを制御する。システムコントローラ2422は、特定のプロセスのタイミング、ガスの混合、チェンバ圧力、チェンバ温度、および他のパラメータを制御するための命令の集まりを含むシステム制御ソフトウェアを実行する。コントローラに関連付けられたメモリデバイスに格納された他のコンピュータプログラムを、一部の実施形態において採用することができる。
プロセスシーケンスにおけるプロセスを制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートランなど、通常のコンピュータ可読プログラミング言語のいずれかでコーディングすることができる。コンパイルされたオブジェクトコードまたはスクリプトをプロセッサにより実行することで、プログラムで示されるタスクが実行される。システムソフトウェアは、多くの異なる方法で設計または構成することができる。例えば、記載したプロセスを実施するために必要なチェンバ構成要素の動作を制御するための、各種チェンバ構成要素サブルーチンまたは制御オブジェクトを作成することができる。本目的のためのプログラムまたはプログラム部分の例には、処理ガス制御コード、圧力制御コード、およびプラズマ制御コードが含まれる。
コントローラパラメータは、例えば、各オペレーションのタイミング、チェンバ内の圧力、基板温度、エッチャント流量など、プロセス条件に関するものである。これらのパラメータは、レシピの形でユーザに提供され、ユーザインタフェースを利用して入力することができる。プロセスを監視するための信号を、システムコントローラ2422のアナログおよび/またはデジタル入力接続によって供給することができる。プロセスを制御するための信号は、装置2400のアナログおよびデジタル出力接続に出力される。
マルチステーション装置
図25Aは、マルチステーション装置2500の一例を示している。装置2500は、処理チェンバ2501と、処理される基板および処理が完了した基板を保持するための1つ以上のカセット2503(例えば、正面開口一体型ポッド)と、を備える。チェンバ2501は、いくつかのステーションを有することができ、例えば、2ステーション、3ステーション、4ステーション、5ステーション、6ステーション、7ステーション、8ステーション、10ステーション、または他の任意の数のステーションを有することができる。ステーションの数は、通常、処理オペレーションの複雑さと、共有環境で実行され得るそれらのオペレーションの数によって決定される。図25Aは、2511〜2516で示す6ステーションを有する処理チェンバ2501を示している。単一の処理チェンバ2503を有するマルチステーション装置2500内のすべてのステーションは、同じ圧力環境に曝される。ただし、各ステーションは、所定の反応物質分配システムを備えることができ、また、図24に示すもののような専用のプラズマ発生器とペデスタルによって達成される局所プラズマ条件および加熱条件を備えることができる。
被処理基板は、カセット2503の1つからロードロック2505を介してステーション2511にロードされる。基板をカセット2503からロードロック2505に移送するために、外部ロボット2507を使用することができる。図示の実施形態では、2つの別個のロードロック2505が設けられている。これらは、一般に基板搬送装置を装備しており、これにより基板を、ロードロック2505から(圧力を、処理チェンバ2503の内部環境に相当するレベルに平衡化してから)ステーション2511に移動させ、さらに処理チェンバ2503から取り出すために、ステーション2516からロードロック2505へ戻すように移動させる。処理ステーション2511〜516の間で基板を移送するため、およびそれらの基板のいくつかを後述のようにプロセス中に支持するために、メカニズム2509が用いられる。
一部の実施形態では、1つ以上のステーションを、基板の加熱用に確保することができる。そのようなステーションは、基板の上方に配置される加熱ランプ(図示せず)、および/または図24に示すものと同様に基板を支持する加熱ペデスタルを有し得る。例えば、ステーション2511は、ロードロックから基板を受け取って、その基板をさらなる処理の前に予熱するために使用され得る。他のステーションは、堆積およびエッチングオペレーションを含む高アスペクト比フィーチャの充填のために使用され得る。
ステーション2511において加熱または他の処理を基板に施した後に、基板は、処理ステーション2512、2513、2514、2515、2516に次々と移動させられるが、これらの処理ステーションは、順に配置されていても、そうでなくてもよい。マルチステーション装置2500は、すべてのステーションが同じ圧力環境に曝されるように構成されている。そうすることで、ロードロックのような搬送ポートを必要とすることなく、基板は、ステーション2511からチェンバ2501内の他のステーションへ移送される。
一部の実施形態では、1つ以上のステーションを、タングステン含有材料によるフィーチャ充填のために使用することができる。例えば、ステーション2512を、初期堆積オペレーションに使用することができ、ステーション2513を、対応する選択的除去オペレーションに使用することができる。堆積−除去サイクルが繰り返される実施形態では、ステーション2514を、別の堆積オペレーションに使用することができ、ステーション2515を、別の部分的除去オペレーションに使用することができる。セクション2516を、最終的な充填オペレーションに使用することができる。理解されるべきことは、ステーションに特定のプロセス(加熱、充填、および除去)を割り当てる任意の構成を用いることができるということである。
上記のマルチステーション装置に代えて、単一基板用チェンバにおいて、または個々の処理ステーションで基板(複数の場合もある)をバッチモードで(すなわち、順次的ではなく)処理するマルチステーション・チェンバにおいて、本方法を実施することができる。本発明の本態様では、基板は、チェンバ内にロードされて、(処理ステーションを1つのみ有する装置であるか、またはバッチモードで動作するマルチステーションを有する装置であるかに関わりなく)1つの処理ステーションのペデスタルの上に配置される。そして、基板を加熱することができ、堆積オペレーションを実施することができる。次に、チェンバ内のプロセス条件を調整することができ、そして堆積された層の選択的除去が実行される。プロセスは、続いて、1回以上の堆積−除去サイクルと、そして最終的な充填オペレーションに進むことができ、これらはすべて同じステーションで実行される。あるいは、シングルステーション装置を用いて、最初に、新規の本方法のオペレーション(例えば、堆積、選択的除去、最終充填)のうちいずれか1つのみを、複数のウェハ上で実行することができ、その後、それらの基板を、同じステーションに戻すか、または(例えば、別の装置の)異なるステーションに移動させて、残りのオペレーションのうち1つ以上を実行することができる。
マルチチェンバ装置
図25Bは、いくつかの実施形態により使用することができるマルチチェンバ装置2520の模式図である。図示のように、装置2520は、3つの独立したチェンバ2521、2523、2525を備える。これらのチェンバのそれぞれは、2つのペデスタルを有するものとして図示されている。理解されるべきことは、装置は、任意の数(例えば、1つ、2つ、3つ、4つ、5つ、6つなど)のチェンバを備えることができ、各チェンバは、任意の数(例えば、1つ、2つ、3つ、4つ、5つ、6つなど)のチェンバを有することができるということである。各チェンバ2521〜525は、チェンバ間で共有されていない独自の圧力環境を有する。各チェンバは、対応する1つ以上の搬送ポート(例えば、ロードロック)を有し得る。装置は、さらに、搬送ポートと1つ以上のカセット2529との間で基板を移送するための共用の基板ハンドリングロボット2527を有し得る。
上述のように、タングステン含有材料を堆積させるためと、それらの堆積された材料に後のオペレーションで選択的除去を施すために、別個のチェンバを使用することができる。これら2つのオペレーションを異なるチェンバに分けることは、それぞれのチェンバにおいて同じ環境条件が維持されることによって、処理速度を大きく向上させる助けとなり得る。すなわち、チェンバでは、その環境を、堆積に用いられる条件から、選択的除去に用いられる条件に変更したり、さらに戻したりする必要がなく、そのような変更は、異なる前駆体、異なる温度、圧力、および他のプロセスパラメータに関わり得る。一部の実施形態では、半製品の半導体基板を2つ以上の異なるチェンバ間で移送するほうが、これらのチェンバの環境条件を変更するよりも速い。
パターニング方法/装置
上記の装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスとともに用いることができる。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。リソグラフィによる膜のパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールによって実施可能となる。(1)ワークピースすなわち基板の上に、スピン式またはスプレー式のツールを用いて、フォトレジストを塗布する;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。
本発明は、以下の適用例としても実現可能である。
[適用例1]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
前記フィーチャを第1のバルクタングステン層によって充填するために、前記フィーチャ内にタングステンをコンフォーマルに堆積させることと、
エッチングされたタングステン層を前記フィーチャ内に残すように、1つ以上の側壁からタングステンを除去することを含んで、前記第1のバルクタングステン層の一部を除去することと、
前記エッチングされたタングステン層の上に第2のバルクタングステン層を選択的に堆積させることと、を含む方法。
[適用例2]
適用例1に記載の方法であって、
前記タングステンをコンフォーマルに堆積させることは、前記第1のバルクタングステン層内にボイドが形成されることを許容することを含む、方法。
[適用例3]
適用例2に記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、前記ボイドを開口させることを含む、方法。
[適用例4]
適用例1ないし3のいずれかに記載の方法であって、
前記タングステンをコンフォーマルに堆積させることは、前記フィーチャの軸に沿って前記第1のバルクタングステン層内にシームが形成されることを許容することを含む、方法。
[適用例5]
適用例4に記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、シーム形成点まで前記第1のバルクタングステン層をエッチングすることを含む、方法。
[適用例6]
適用例1ないし5のいずれかに記載の方法であって、
前記エッチングされたタングステン層の上に第2のバルクタングステン層を選択的に堆積させることは、中間核形成層を形成することなく、前記エッチングされたタングステン層の上に前記第2のバルクタングステン層を堆積させることを含む、方法。
[適用例7]
適用例1ないし6のいずれかに記載の方法であって、
前記フィーチャは、前記基板の平面に対して垂直向きである、方法。
[適用例8]
適用例1ないし6のいずれかに記載の方法であって、
前記フィーチャは、前記基板の平面に対して水平向きである、方法。
[適用例9]
適用例1ないし8のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、イオン種が略存在しないラジカル種に前記第1のバルクタングステン層を暴露することを含む、方法。
[適用例10]
適用例1ないし9のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、リモート生成プラズマに前記第1のバルクタングステン層を暴露することを含む、方法。
[適用例11]
適用例1ないし8のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、インサイチュ・プラズマに前記第1のバルクタングステン層を暴露することを含む、方法。
[適用例12]
適用例1ないし8のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、容量結合プラズマ(CCP)発生器、誘導結合プラズマ(ICP)発生器、トランス結合プラズマ(TCP)発生器、電子サイクロトロン共鳴(ECR)発生器、またはヘリコンプラズマ発生器を用いて発生させたプラズマに、前記第1のバルクタングステン層を暴露することを含む、方法。
[適用例13]
適用例1ないし12のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、前記第1のバルクタングステン層の非コンフォーマルエッチングを含む、方法。
[適用例14]
適用例1ないし12のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、前記第1のバルクタングステン層のコンフォーマルエッチングを含む、方法。
[適用例15]
適用例1ないし14のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、タングステンを選択的にエッチングすることを含む、方法。
[適用例16]
適用例1ないし14のいずれかに記載の方法であって、
前記第1のバルクタングステン層の一部を除去することは、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、タングステンを非選択的にエッチングすることを含む、方法。
[適用例17]
適用例1ないし16のいずれかに記載の方法であって、
接着層、ライナー層、およびバリア層から選択された薄層を、前記第2のバルクタングステン層の上に堆積させることを含む、方法。
[適用例18]
適用例17に記載の方法であって、
第3のバルクタングステン層を前記薄層の上に堆積させることを含む、方法。
[適用例19]
適用例1ないし18のいずれかに記載の方法であって、
前記第2のバルクタングステン層は、前記フィーチャに対して非コンフォーマルである、方法。
[適用例20]
適用例1ないし18のいずれかに記載の方法であって、
前記フィーチャは、100%を超えるステップカバレッジを有するタングステンによって充填される、方法。
[適用例21]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャであって、該フィーチャの長さに沿って延びるフィーチャ軸を有するフィーチャ、を含む基板を準備することと、
前記フィーチャを第1のバルクタングステン層によって充填するために、前記フィーチャ軸に対して略垂直な粒成長によって、前記フィーチャ内にタングステンを堆積させることと、
エッチングされたタングステン層を前記フィーチャ内に残すように、前記第1のバルクタングステン層の一部を除去することと、
前記エッチングされたタングステン層の上に、前記フィーチャ軸に対して略平行な粒成長によって、第2のバルクタングステン層を選択的に堆積させることと、を含む方法。
[適用例22]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
前記フィーチャを第1のバルクタングステン層によって充填するために、前記フィーチャ内にタングステンをコンフォーマルに堆積させることと、
前記タングステンの一部が除去された後の、エッチングされたタングステン層を前記フィーチャが含む前記基板を受け取ることと、
前記エッチングされたタングステン層の上に、前記フィーチャに対して非コンフォーマルな第2のバルクタングステン層を選択的に堆積させることと、を含む方法。
[適用例23]
方法であって、
フィーチャ開口と、フィーチャ側壁と、フィーチャ閉端部とを有するフィーチャであって、コンフォーマルなバルクタングステン層で充填されて、該コンフォーマルなバルクタングステン層に形成されたボイドおよび/またはシームを含むフィーチャ、を含む基板を受け取ることと、
タングステンが実質的に前記フィーチャ閉端部にのみ残るように前記フィーチャ側壁からタングステンを除去することを含んで、前記コンフォーマルなバルクタングステン層の一部をエッチングすることと、を含む方法。
[適用例24]
方法であって、
2つのフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャであって、コンフォーマルなバルクタングステン層で充填されて、該コンフォーマルなバルクタングステン層に形成されたボイドおよび/またはシームを含むフィーチャ、を含む基板を受け取ることと、
タングステンが実質的に前記フィーチャ内部にのみ残るように前記フィーチャ開口付近の前記フィーチャ側壁からタングステンを除去することを含んで、前記コンフォーマルなバルクタングステン層の一部をエッチングすることと、を含む方法。
[適用例25]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
前記フィーチャ内に第1のバルクタングステン層を堆積させることと、
エッチングされたタングステン層を形成するように、前記第1のバルクタングステン層をエッチングすることであって、前記1つ以上のフィーチャ開口からリセス深さまで及ぶ前記フィーチャ内の略全てのタングステンを除去することを含んで、前記第1のバルクタングステン層をエッチングすることと、
前記フィーチャ内に第2のバルクタングステン層を堆積させることと、を含む方法。
[適用例26]
適用例25に記載の方法であって、
前記第1のバルク層は、前記フィーチャを部分的にのみ充填する、方法。
[適用例27]
適用例26に記載の方法であって、
前記第1のバルク層をエッチングすることは、前記第1のバルク層の少なくとも一領域の横方向のエッチングを含む、方法。
[適用例28]
適用例25ないし27のいずれかに記載の方法であって、
前記第2のバルクタングステン層は、前記エッチングされたタングステン層の上に選択的に堆積される、方法。
[適用例29]
適用例25ないし27のいずれかに記載の方法であって、
前記第2のバルクタングステン層は、前記フィーチャ内にコンフォーマルに堆積される、方法。
[適用例30]
方法であって、
前記フィーチャは狭窄部を含み、前記リセス深さは前記狭窄部を越えたところまで及ぶ、適用例25ないし29のいずれかに記載の方法。
[適用例31]
適用例25ないし30のいずれかに記載の方法であって、
エッチングされたタングステン層を形成するように前記第1のバルクタングステン層をエッチングすることは、前記フィーチャ内に形成されたボイドを開口させることを含む、方法。
[適用例32]
適用例25ないし31のいずれかに記載の方法であって、
前記エッチングと前記堆積のオペレーションを1回以上繰り返すことをさらに含む、方法。
[適用例33]
適用例25ないし32のいずれかに記載の方法であって、
前記第1のバルクタングステン層は、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、選択的にエッチングされる、方法。
[適用例34]
適用例25ないし32のいずれかに記載の方法であって、
前記第1のバルクタングステン層は、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、非選択的にエッチングされる、方法。
[適用例35]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
前記フィーチャ内にボロン層をコンフォーマルに堆積させることと、
前記フィーチャ内の前記ボロン層の一部を、残りのボロン層は前記フィーチャ内に残して、タングステンに転化させることと、
前記残りのボロン層はエッチングすることなく、前記タングステンを選択的にエッチングすることと、
前記残りのボロン層をタングステンに転化させることと、を含む方法。
[適用例36]
適用例35に記載の方法であって、
前記ボロン層は、10nm以上の厚さに堆積される、方法。
[適用例37]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
少なくとも約5nmの厚さを有するボロン層を、前記フィーチャ内にコンフォーマルに堆積させることと、
前記フィーチャの充填された部分が体積膨張を受けるように、前記フィーチャ内の前記ボロン層の前記厚さ全体をタングステンに転化させることと、
前記フィーチャを部分的または全体的にタングステンで充填するために、前記コンフォーマル堆積と前記転化のオペレーションを1回以上繰り返すことと、を含む方法。
[適用例38]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
前記フィーチャ内にフッ素フリー窒化タングステン層をコンフォーマルに堆積させることと、
前記フッ素窒化タングステン層をフッ素フリータングステン層に転化させることと、を含む方法。
[適用例39]
方法であって、
1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
ハロゲン含有還元剤を用いて、前記フィーチャ内にタングステン層をコンフォーマルに堆積させることと、
ハロゲン含有副生成物を排出させることと、
前記コンフォーマルなタングステン層の上に、フッ素フリータングステン含有部を堆積させることと、を含む方法。
[適用例40]
装置であって、
基板を支持するように構成された1つまたは複数のチェンバと、
前記チェンバのうち1つ以上の内部またはリモートで、プラズマを発生させるように構成されたプラズマ発生器と、
前記1つまたは複数のチェンバのそれぞれにガスを誘導するように構成されたガス導入口と、
コントローラであって、
前記1つまたは複数のチェンバに1種以上のガスを導入して、1種以上のエッチャントプラズマを発生させることで、適用例1ないし39の方法のいずれかを実施する、ためのプログラム命令を含むコントローラと、を備える装置。

Claims (36)

  1. 方法であって、
    1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することであって、前記フィーチャ側壁上に下層が設けられていることと
    前記フィーチャを第1のバルクタングステン層によって充填するために、前記フィーチャ内にタングステンをコンフォーマルに堆積させることと、
    エッチングされたタングステン層を前記フィーチャ内に残すように、前記下層を前記フィーチャ側壁上に残存させつつ前記フィーチャ側壁の前記下層からタングステンを除去することを含んで、前記第1のバルクタングステン層の一部を除去することと、
    前記エッチングされたタングステン層の上に第2のバルクタングステン層を選択的に堆積させることと、を含み、
    前記選択的な堆積は、前記タングステンが除去される前記フィーチャ側壁の下層表面に比して、前記エッチングされたタングステン層上で優先的に行われる、方法。
  2. 請求項1に記載の方法であって、
    前記タングステンをコンフォーマルに堆積させることは、前記第1のバルクタングステン層内にボイドが形成されることを許容することを含む、方法。
  3. 請求項2に記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、前記ボイドを開口させることを含む、方法。
  4. 請求項1ないし3のいずれかに記載の方法であって、
    前記タングステンをコンフォーマルに堆積させることは、前記フィーチャの軸に沿って前記第1のバルクタングステン層内にシームが形成されることを許容することを含む、方法。
  5. 請求項4に記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、シーム形成点まで前記第1のバルクタングステン層をエッチングすることを含む、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、
    前記エッチングされたタングステン層の上に第2のバルクタングステン層を選択的に堆積させることは、中間核形成層を形成することなく、前記エッチングされたタングステン層の上に前記第2のバルクタングステン層を堆積させることを含む、方法。
  7. 請求項1ないし6のいずれかに記載の方法であって、
    前記フィーチャは、前記基板の平面に対して垂直向きである、方法。
  8. 請求項1ないし6のいずれかに記載の方法であって、
    前記フィーチャは、前記基板の平面に対して水平向きである、方法。
  9. 請求項1ないし8のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、イオン種が略存在しないラジカル種に前記第1のバルクタングステン層を暴露することを含む、方法。
  10. 請求項1ないし9のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、リモート生成プラズマに前記第1のバルクタングステン層を暴露することを含む、方法。
  11. 請求項1ないし8のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、インサイチュ・プラズマに前記第1のバルクタングステン層を暴露することを含む、方法。
  12. 請求項1ないし8のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、容量結合プラズマ(CCP)発生器、誘導結合プラズマ(ICP)発生器、トランス結合プラズマ(TCP)発生器、電子サイクロトロン共鳴(ECR)発生器、またはヘリコンプラズマ発生器を用いて発生させたプラズマに、前記第1のバルクタングステン層を暴露することを含む、方法。
  13. 請求項1ないし12のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、前記第1のバルクタングステン層の非コンフォーマルエッチングを含む、方法。
  14. 請求項1ないし12のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、前記第1のバルクタングステン層のコンフォーマルエッチングを含む、方法。
  15. 請求項1ないし14のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、タングステンを選択的にエッチングすることを含む、方法。
  16. 請求項1ないし14のいずれかに記載の方法であって、
    前記第1のバルクタングステン層の一部を除去することは、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、タングステンを非選択的にエッチングすることを含む、方法。
  17. 請求項1ないし16のいずれかに記載の方法であって、
    接着層、ライナー層、およびバリア層から選択された薄層を、前記第2のバルクタングステン層の上に堆積させることを含む、方法。
  18. 請求項17に記載の方法であって、
    第3のバルクタングステン層を前記薄層の上に堆積させることを含む、方法。
  19. 請求項1ないし18のいずれかに記載の方法であって、
    前記第2のバルクタングステン層は、前記フィーチャに対して非コンフォーマルである、方法。
  20. 請求項1ないし18のいずれかに記載の方法であって、
    前記フィーチャは、100%を超えるステップカバレッジを有するタングステンによって充填される、方法。
  21. 方法であって、
    フィーチャ開口と、フィーチャ側壁と、フィーチャ閉端部とを有するフィーチャであって、コンフォーマルなバルクタングステン層で充填されて、該コンフォーマルなバルクタングステン層に形成されたボイドおよび/またはシームを含むフィーチャ、を含む基板を受け取ることと、
    タングステンが実質的に前記フィーチャ閉端部にのみ残るように前記フィーチャ側壁からタングステンを除去することを含んで、前記コンフォーマルなバルクタングステン層の一部をエッチングすることと、を含む方法。
  22. 方法であって、
    2つのフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャであって、コンフォーマルなバルクタングステン層で充填されて、該コンフォーマルなバルクタングステン層に形成されたボイドおよび/またはシームを含むフィーチャ、を含む基板を受け取ることと、
    タングステンが実質的に前記フィーチャ内部にのみ残るように前記フィーチャ開口付近の前記フィーチャ側壁からタングステンを除去することを含んで、前記コンフォーマルなバルクタングステン層の一部をエッチングすることと、を含む方法。
  23. 方法であって、
    1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
    前記フィーチャ内に第1のバルクタングステン層を堆積させることと、
    エッチングされたタングステン層を形成するように、前記第1のバルクタングステン層をエッチングすることであって、前記1つ以上のフィーチャ開口からリセス深さまで及ぶ前記フィーチャ内の略全てのタングステンを除去することを含んで、前記第1のバルクタングステン層をエッチングすることと、
    前記フィーチャ内に第2のバルクタングステン層を堆積させることと、を含み、
    前記フィーチャは狭窄部を含み、前記リセス深さは前記狭窄部を越えたところまで及ぶ、方法。
  24. 請求項23に記載の方法であって、
    前記第1のバルクタングステン層は、前記フィーチャを部分的にのみ充填する、方法。
  25. 請求項24に記載の方法であって、
    前記第1のバルクタングステン層をエッチングすることは、前記第1のバルクタングステン層の少なくとも一領域の横方向のエッチングを含む、方法。
  26. 請求項23〜25のいずれかに記載の方法であって、
    前記第2のバルクタングステン層は、前記エッチングされたタングステン層の上に選択的に堆積される、方法。
  27. 請求項23〜25のいずれかに記載の方法であって、
    前記第2のバルクタングステン層は、前記フィーチャ内にコンフォーマルに堆積される、方法。
  28. 請求項23〜27のいずれかに記載の方法であって、
    エッチングされたタングステン層を形成するように前記第1のバルクタングステン層をエッチングすることは、前記フィーチャ内に形成されたボイドを開口させることを含む、方法。
  29. 請求項23〜28のいずれかに記載の方法であって、
    前記エッチングと前記堆積のオペレーションを1回以上繰り返すことをさらに含む、方法。
  30. 請求項23〜29のいずれかに記載の方法であって、
    前記第1のバルクタングステン層は、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、選択的にエッチングされる、方法。
  31. 請求項23〜29のいずれかに記載の方法であって、
    前記第1のバルクタングステン層は、前記フィーチャをライニングしている下層であってその上に前記第1のバルクタングステン層が堆積された下層に対して、非選択的にエッチングされる、方法。
  32. 方法であって、
    1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
    前記フィーチャ内にボロン層をコンフォーマルに堆積させることと、
    前記フィーチャ内の前記ボロン層の一部を、残りのボロン層は前記フィーチャ内に残して、タングステンに転化させることと、
    前記残りのボロン層はエッチングすることなく、前記タングステンを選択的にエッチングすることと、
    前記残りのボロン層をタングステンに転化させることと、を含む方法。
  33. 請求項32に記載の方法であって、
    前記ボロン層は、10nm以上の厚さに堆積される、方法。
  34. 方法であって、
    1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
    少なくとも約5nmの厚さを有するボロン層を、前記フィーチャ内にコンフォーマルに堆積させることと、
    前記フィーチャの充填された部分が体積膨張を受けるように、前記フィーチャ内の前記ボロン層の前記厚さ全体をタングステンに転化させることと、
    前記フィーチャを部分的または全体的にタングステンで充填するために、前記コンフォーマル堆積と前記転化のオペレーションを1回以上繰り返すことと、を含む方法。
  35. 方法であって、
    1つ以上のフィーチャ開口と、フィーチャ側壁と、フィーチャ内部とを有するフィーチャを含む基板を準備することと、
    前記フィーチャ内にフッ素フリー窒化タングステン層をコンフォーマルに堆積させることと、
    前記フッ素フリー窒化タングステン層をフッ素フリータングステン層に転化させることと、を含む方法。
  36. 装置であって、
    基板を支持するように構成された1つまたは複数のチェンバと、
    前記チェンバのうち1つ以上の内部またはリモートで、プラズマを発生させるように構成されたプラズマ発生器と、
    前記1つまたは複数のチェンバのそれぞれにガスを誘導するように構成されたガス導入口と、
    コントローラであって、
    前記1つまたは複数のチェンバに1種以上のガスを導入して、1種以上のエッチャントプラズマを発生させることで、請求項1ないし35の方法のいずれかを実施する、ためのプログラム命令を含むコントローラと、を備える装置。
JP2015503547A 2012-03-27 2013-03-27 タングステンによるフィーチャ充填 Active JP6273257B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261616377P 2012-03-27 2012-03-27
US61/616,377 2012-03-27
PCT/US2013/034167 WO2013148880A1 (en) 2012-03-27 2013-03-27 Tungsten feature fill

Publications (3)

Publication Number Publication Date
JP2015512568A JP2015512568A (ja) 2015-04-27
JP2015512568A5 JP2015512568A5 (ja) 2016-06-23
JP6273257B2 true JP6273257B2 (ja) 2018-01-31

Family

ID=49261216

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015503547A Active JP6273257B2 (ja) 2012-03-27 2013-03-27 タングステンによるフィーチャ充填

Country Status (6)

Country Link
US (6) US9653353B2 (ja)
JP (1) JP6273257B2 (ja)
KR (2) KR102131581B1 (ja)
CN (3) CN110004429B (ja)
TW (1) TWI602283B (ja)
WO (1) WO2013148880A1 (ja)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8912574B2 (en) * 2010-12-14 2014-12-16 International Business Machines Corporation Device isolation with improved thermal conductivity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
US9748105B2 (en) * 2013-08-16 2017-08-29 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (WF6) etchback
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015080058A1 (ja) * 2013-11-27 2015-06-04 東京エレクトロン株式会社 タングステン膜の成膜方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6269175B2 (ja) * 2014-03-05 2018-01-31 株式会社デンソー 半導体装置の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
KR20150110965A (ko) * 2014-03-21 2015-10-05 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9390939B2 (en) * 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN104072138B (zh) * 2014-06-18 2015-10-28 河海大学 一种碳化钨-立方氮化硼复合材料及其制备方法
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US10176996B2 (en) * 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
SG11201703033RA (en) * 2014-10-17 2017-05-30 Acm Res Shanghai Inc Barrier layer removal method and semiconductor structure forming method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9666507B2 (en) * 2014-11-30 2017-05-30 United Microelectronics Corp. Through-substrate structure and method for fabricating the same
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
JP6473405B2 (ja) 2015-10-05 2019-02-20 浜松ホトニクス株式会社 配線構造体の製造方法
US20170104000A1 (en) 2015-10-13 2017-04-13 Joo-Hee PARK Vertical memory devices
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6751631B2 (ja) 2016-09-13 2020-09-09 東京エレクトロン株式会社 基板の凹部をタングステンで充填する方法
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
EP3539154A4 (en) 2016-11-08 2020-06-03 Applied Materials, Inc. GEOMETRIC CONTROL OF PRESSURE COLUMNS FOR SAMPLE APPLICATIONS
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
TW201839897A (zh) * 2017-02-22 2018-11-01 美商應用材料股份有限公司 自對準接觸圖案化之臨界尺寸控制
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
JP2018199863A (ja) * 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
KR102399497B1 (ko) * 2017-05-29 2022-05-19 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TWI719316B (zh) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
CN116377420A (zh) * 2017-06-23 2023-07-04 默克专利有限公司 用于选择性膜生长的原子层沉积方法
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN111149190A (zh) * 2017-07-13 2020-05-12 应用材料公司 用于沉积钨成核层的方法及设备
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019036292A1 (en) * 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN107564861A (zh) * 2017-09-29 2018-01-09 睿力集成电路有限公司 一种晶体管结构、存储单元、存储器阵列及其制备方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10840405B2 (en) * 2017-10-31 2020-11-17 Sivananthan Laboratories, Inc. Inductively coupled plasma for hydrogenation of type II superlattices
KR20200079339A (ko) * 2017-11-20 2020-07-02 램 리써치 코포레이션 자기 제한 성장
CN107946234A (zh) * 2017-11-20 2018-04-20 睿力集成电路有限公司 半导体互连结构及其制备方法
KR102388313B1 (ko) 2017-11-27 2022-04-19 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법
JP7085824B2 (ja) 2017-11-28 2022-06-17 東京エレクトロン株式会社 成膜方法
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10669160B2 (en) * 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN112930591A (zh) * 2018-09-18 2021-06-08 应用材料公司 原位集成型腔室
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111244025A (zh) * 2018-11-29 2020-06-05 北京北方华创微电子装备有限公司 填充方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) * 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
US11189633B2 (en) 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
WO2020236749A1 (en) * 2019-05-22 2020-11-26 Lam Research Corporation Nucleation-free tungsten deposition
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
JP2022551965A (ja) * 2019-10-15 2022-12-14 ラム リサーチ コーポレーション モリブデン充填
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
KR20210062824A (ko) 2019-11-22 2021-06-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN115136285A (zh) * 2020-01-16 2022-09-30 恩特格里斯公司 蚀刻或沉积的方法
US11742208B2 (en) * 2020-03-25 2023-08-29 Texas Instruments Incorporated Method of reducing voids and seams in trench structures by forming semi-amorphous polysilicon
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
JP2022032210A (ja) 2020-08-11 2022-02-25 キオクシア株式会社 半導体記憶装置
CN115836380A (zh) * 2020-11-20 2023-03-21 朗姆研究公司 低电阻脉冲式cvd钨
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures
EP4033518A1 (en) * 2021-01-25 2022-07-27 Infineon Technologies Austria AG Method for fabricating a semiconductor device using wet etching and dry etching and semiconductor device
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充
WO2023114106A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Large grain tungsten growth in features
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts

Family Cites Families (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
EP0087834B1 (de) 1982-03-03 1987-07-08 BBC Aktiengesellschaft Brown, Boveri & Cie. Druckwellenlader mit Wälzlagerung des Rotors
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
JPH0794488B2 (ja) 1987-09-07 1995-10-11 ダイセル化学工業株式会社 光学活性な重合用触媒及び光学活性な高分子の合成方法
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
JPH02187031A (ja) * 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) * 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) * 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3014019B2 (ja) * 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) * 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (ja) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR200160641Y1 (ko) 1996-08-23 1999-11-15 맹섭 골프백용 등걸이 멜빵
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
WO2001089017A1 (en) 2000-05-18 2001-11-22 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP2002016066A (ja) * 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
KR100479283B1 (ko) 2000-11-17 2005-03-28 동경 엘렉트론 주식회사 금속 막 형성 방법 및 반도체 제조 장치
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
CA2529095A1 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
WO2004061154A1 (ja) 2002-12-27 2004-07-22 Ulvac Inc. 窒化タングステン膜の成膜方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
WO2005101473A1 (ja) 2004-04-12 2005-10-27 Ulvac, Inc. バリア膜の形成方法、及び電極膜の形成方法
KR101166236B1 (ko) 2004-04-21 2012-07-17 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 산화 토륨이 없는 고압 방전 램프용 텅스텐 전극의 열 처리 방법
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) * 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR100881391B1 (ko) * 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR20080061978A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
TWI493058B (zh) * 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
KR101564473B1 (ko) 2007-11-21 2015-10-29 램 리써치 코포레이션 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) * 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8236691B2 (en) * 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
WO2010077847A2 (en) 2008-12-31 2010-07-08 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2011017068A1 (en) 2009-08-07 2011-02-10 Sigma-Aldrich Co. High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
KR20120046786A (ko) 2009-09-02 2012-05-10 가부시키가이샤 알박 Co 막의 형성 방법 및 Cu 배선막의 형성 방법
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
SG10201407519TA (en) 2009-11-19 2015-01-29 Univ Singapore Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
CN101789369A (zh) * 2010-01-28 2010-07-28 上海宏力半导体制造有限公司 多金属钨栅极刻蚀方法
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
CN102892922A (zh) 2010-03-17 2013-01-23 应用材料公司 用于远程等离子体源辅助的含硅膜沉积的方法和装置
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) * 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
WO2013148444A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI613845B (zh) 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Also Published As

Publication number Publication date
KR102131581B1 (ko) 2020-07-08
TWI602283B (zh) 2017-10-11
US9653353B2 (en) 2017-05-16
US20130302980A1 (en) 2013-11-14
US20190019725A1 (en) 2019-01-17
CN110004429B (zh) 2021-08-31
US10103058B2 (en) 2018-10-16
CN110004429A (zh) 2019-07-12
US11075115B2 (en) 2021-07-27
US9240347B2 (en) 2016-01-19
CN104272441A (zh) 2015-01-07
KR20140141686A (ko) 2014-12-10
US20210327754A1 (en) 2021-10-21
US20160190008A1 (en) 2016-06-30
KR102064627B1 (ko) 2020-01-09
TW201405781A (zh) 2014-02-01
WO2013148880A1 (en) 2013-10-03
JP2015512568A (ja) 2015-04-27
US20170278749A1 (en) 2017-09-28
US20150056803A1 (en) 2015-02-26
KR20200006620A (ko) 2020-01-20
CN113862634A (zh) 2021-12-31

Similar Documents

Publication Publication Date Title
JP6273257B2 (ja) タングステンによるフィーチャ充填
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US20220102208A1 (en) Feature fill with nucleation inhibition
TWI706509B (zh) 包含多段式抑制成核之特徵部塡充
US9548228B2 (en) Void free tungsten fill in different sized features
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
JP6195898B2 (ja) 核形成の抑制を伴うタングステンによるフィーチャ充填
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
KR20220082023A (ko) 몰리브덴 충진
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20171205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180105

R150 Certificate of patent or registration of utility model

Ref document number: 6273257

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250