CN110004429A - 钨特征填充 - Google Patents

钨特征填充 Download PDF

Info

Publication number
CN110004429A
CN110004429A CN201811491805.5A CN201811491805A CN110004429A CN 110004429 A CN110004429 A CN 110004429A CN 201811491805 A CN201811491805 A CN 201811491805A CN 110004429 A CN110004429 A CN 110004429A
Authority
CN
China
Prior art keywords
feature
tungsten
layer
etching
main body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811491805.5A
Other languages
English (en)
Other versions
CN110004429B (zh
Inventor
阿南德·查德拉什卡
爱思特·杰恩
拉什纳·胡马雍
迈克尔·达内克
高举文
王德齐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN110004429A publication Critical patent/CN110004429A/zh
Application granted granted Critical
Publication of CN110004429B publication Critical patent/CN110004429B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Abstract

本发明描述了以钨来填充特征的方法以及相关系统和设备。这些方法包括由里朝外填充技术以及特征内的保形沉积。由里朝外填充技术可包括在特征内的蚀刻过的钨层上的选择性沉积。根据各种实施例可使用保形与非保形蚀刻技术。本发明所述的这些方法可用于填充例如钨通孔的垂直特征、以及例如垂直NAND(VNAND)字线的水平特征。应用的实例包括逻辑和内存接点填充、DRAM埋入式字线填充、垂直集成内存栅极/字线填充以及具有硅通孔(TSVs)的3‑D集成。

Description

钨特征填充
本申请是申请号为201380022693.8,申请日为2013年3月27日,申请人为诺发系统公司,发明创造名称为“钨特征填充”的发明专利申请的分案申请。
相关申请的交叉引用
本申请根据35USC§119(e)主张于2012年3月27日提交的美国临时专利申请第61/616,377号的优先权,为所有的目的,该专利申请的整体内容通过引用的方式并入本申请中。
背景技术
使用化学气相沉积(CVD)技术的含钨材料的沉积是许多半导体制造过程不可或缺的部分。这些材料可用于水平互连、邻接金属层之间的通孔、第一金属层与硅衬底上的装置之间的接点、以及高深宽比特征。在常规沉积过程中,在沉积腔室中将衬底加热到预定的工艺温度,并且沉积作为晶种或成核层的含钨材料薄层。然后,将含钨材料的其余部分(主体层)沉积在此成核层上。按照惯例,含钨材料是通过六氟化钨(WF6)与氢(H2)的还原反应而形成。将含钨材料沉积在包括特征与场区域的整个衬底暴露表面区域上方。
将含钨材料沉积到小并且具高深宽比的特征内可能会在已填充的特征内部引起接缝与空隙的形成。大的接缝可能会导致高电阻、污染、所填充的材料的损失,并且以其他方式使集成电路的性能降低。例如,接缝可能在填充过程之后延伸靠近场区域,然后在化学机械研磨期间打开。
发明内容
在本发明所述主题的一方面可以在以钨来填充特征的方法中实施,所述方法包括:在特征内保形地沉积钨以用第一主体(bulk)钨层来填充特征,去除一部分的第一主体钨层以在特征内留下蚀刻过的钨层;以及将第二主体钨层选择性地沉积在蚀刻过的钨层上。根据各种实施例,第二主体钨层可填充特征,或者可选择性或保形地沉积一个或多个额外钨层以完成特征填充。在某些实施例中,第二主体钨层可部分地填充特征,而特征的剩余部分则维持未填充。
根据各种实施例,以第一主体钨层保形地填充特征可包括使一个或多个空隙和/或接缝形成在特征内。当去除一部分的沉积钨层时,可去除或打开这些接缝和/或空隙中的一个或多个。
选择地沉积第二主体钨层可包括在特征内不形成成核层的情况下直接在蚀刻过的钨层上进行沉积。在某些实施例中,第二主体钨层中的晶粒生长的方向和/或长度不同于第一主体钨层。
根据各种实施例,特征可以参照衬底的平面而垂直定向或水平定向。在某些实施例中,特征可包括一个或多个收缩部或突出部,和/或具有内凹轮廓。收缩部的实例包括3-D结构中的支柱收缩部。去除一部分的第一主体钨层可包括蚀刻通过收缩部或突出部。
可将第一主体钨层沉积在特征表面上,包括在介电表面上、在加衬于特征的底层上、或在事先沉积的钨成核层或主体钨层上。底层的实例包括钛(Ti)、氮化钛(TiN)、氮化钨(WN)、无氟钨(FFW)、以及TiAl(钛铝)。
去除一部分的第一主体钨层可包括使该层暴露于在等离子体产生器中所产生的活化物质,包括在远程产生和/或原位产生的等离子体中所产生的活化物质。可以使用的等离子体产生器的实例包括电容耦合等离子体(CCP)产生器、感应耦合等离子体(ICP)产生器、变压器耦合等离子体(TCP)产生器、电子回旋共振(ECR)产生器、以及螺旋波等离子体产生器。活化物质的实例可包括离子、自由基以及原子物质。在某些实施例中,这些方法可包括使钨暴露于自由基与原子物质,而实质上不存在离子物质。在某些其他实施例中,这些方法可包括使钨暴露于离子物质。
在某些实施例中,以具有超过100%的阶梯覆盖率的钨来填充特征。在某些实施例中,第二主体钨层可以对特征不保形。
本文所述的主题的另一方面可以在以钨来填充特征的方法中实施,所述方法包括:提供包括特征的衬底,此特征具有一个或多个特征开口、特征侧壁、特征内部、以及沿着特征的长度延伸的特征轴,在特征内沉积钨以用第一主体钨层来填充特征,其中晶粒生长与特征轴实质上正交;去除一部分的第一主体钨层而在特征内留下蚀刻过的钨层;以及将第二主体钨层选择性地沉积在蚀刻过的钨层上,其中晶粒生长实质上平行于特征轴。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:在特征内保形沉积钨以用第一主体钨层来填充特征,在去除一部分的钨之后接收衬底,所接收的特征包括蚀刻过的钨层;以及在蚀刻过的钨层上选择性地沉积第二主体钨层。在某些实施例中,第二主体钨层可以对特征不保形。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:接收包括特征的衬底,此特征具有特征开口、特征侧壁、以及封闭的特征末端,特征以包括空隙和/或接缝的保形主体钨层来填充,此空隙和/或接缝形成在保形主体钨层中;以及蚀刻一部分的保形主体钨层,包括从特征的侧壁去除钨,以使钨实质上仅留在特征的封闭末端。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:接收包括特征的衬底,此特征具有特征开口、特征侧壁、以及封闭的特征末端,特征以包括空隙和/或接缝的保形主体钨层来填充,此空隙和/或接缝形成在保形主体钨层中;以及蚀刻一部分的保形主体钨层,包括从特征的侧壁去除钨,以使钨实质上仅留在特征内部中。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:提供包括特征的衬底,此特征具有一个或多个特征开口、特征侧壁、以及特征内部,在特征内沉积第一主体钨层;蚀刻第一主体钨层以形成蚀刻过的钨层,其中蚀刻第一主体钨层包括去除在特征内达到从一个或多个特征开口延伸的凹槽深度的实质上所有的钨;以及在特征内沉积第二主体钨层。
根据各种实施例,第一主体层可完全或部分地填充特征。在某些实施例中,可在第一主体层中形成空隙或接缝。在某些实施例中,蚀刻第一主体层包括第一主体层的至少一区域的横向蚀刻。可在特征内选择性地或保形地沉积第二主体层。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:在特征内保形地沉积硼层;使特征内的一部分硼层转变成钨,剩余硼层留在特征内;选择性地蚀刻钨而不蚀刻剩余硼层;以及使剩余硼层转变成钨。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:在特征内保形地沉积硼层,此硼层具有至少约5nm的厚度;使硼层特征的整个厚度转变成钨,以使特征的已填充部分经历体积膨胀;以及重复保形沉积的转变操作一次或多次而部分或完全地以钨来填充特征。
本文所述的主题的另一方面可以在包含以下步骤的方法中实施:在特征内保形地沉积无氟的氮化钨层;以及使氟氮化钨层转变成无氟的钨层。
本文所述的主题的又一方面可以在包含以下步骤的方法中实施:使用含卤素还原剂在特征内保形地沉积钨层;抽出含卤素副产物;以及在保形钨层上沉积无氟含钨。
另外的方面可以在被配置成用于实现本文所述的方法的设备中实施。
具体而言,本发明的一些方面可以阐述如下:
1.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积钨以用第一主体钨层填充所述特征;
去除所述第一主体钨层的一部分以在所述特征内留下蚀刻过的钨层,包括从一个或多个侧壁去除钨;并且
在所述蚀刻过的钨层上选择性地沉积第二主体钨层。
2.根据条款1所述的方法,其中所述保形地沉积钨包括允许空隙形成在所述第一主体钨层内。
3.根据条款2所述的方法,其中去除所述第一主体钨层的一部分包括打开所述空隙。
4.根据条款1至3中的任一项所述的方法,其中所述保形地沉积钨包括允许在所述第一主体钨层中形成沿着所述特征的轴延伸的接缝。
5.根据条款4所述的方法,其中去除所述第一主体钨层的一部分包括将所述第一主体钨层蚀刻到接缝形成点。
6.根据条款1至5中的任一项所述的方法,其中在所述蚀刻过的钨层上选择性地沉积第二主体钨层包括在不形成中间成核层的情况下,在所述蚀刻过的钨层上沉积所述第二主体钨层。
7.根据条款1至6中的任一项所述的方法,其中所述特征相对于所述衬底的平面垂直定向。
8.根据条款1至6中的任一项所述的方法,其中所述特征相对于所述衬底的平面水平定向。
9.根据条款1至8中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括使所述第一主体钨层暴露于自由基物质,而实质上不暴露于离子物质。
10.根据条款1至9中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括使所述第一主体钨层暴露于远程产生的等离子体。
11.根据条款1至8中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括使所述第一主体钨层暴露于原位等离子体。
12.根据条款1至8中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括使所述第一主体钨层暴露于使用电容耦合等离子体(CCP)产生器、感应耦合等离子体(ICP)产生器、变压器耦合等离子体(TCP)产生器、电子回旋共振(ECR)产生器、或螺旋波等离子体产生器所产生的等离子体。
13.根据条款1至12中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括所述第一主体钨层的非保形蚀刻。
14.根据条款1至12中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括所述第一主体钨层的保形蚀刻。
15.根据条款1至14中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括相对于底层选择性地蚀刻钨,所述底层加衬于所述特征,所述第一主体钨层沉积在所述特征上。
16.根据条款1至14中的任一项所述的方法,其中去除所述第一主体钨层的一部分包括相对于底层非选择性地蚀刻钨,所述底层加衬于所述特征,所述第一主体钨层沉积在所述特征上。
17.根据条款1至16中的任一项所述的方法,包括在所述第二主体钨层上沉积薄层,所述薄层选自粘合层、衬垫层以及屏障层。
18.根据条款17所述的方法,包括在所述薄层上沉积第三主体钨层。
19.根据条款1至18中的任一项所述的方法,其中所述第二主体钨层相对所述特征不保形。
20.根据条款1至18中的任一项所述的方法,其中以具有大于100%的阶梯覆盖率的钨来填充所述特征。
21.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁、特征内部和沿着所述特征的长度延伸的特征轴;
在所述特征内沉积钨以用第一主体钨层来填充所述特征,其中晶粒生长实质上正交于所述特征轴;
去除所述第一主体钨层的一部分,以在所述特征内留下蚀刻过的钨层;并且
在所述蚀刻过的钨层上选择性地沉积第二主体钨层,其中晶粒生长实质上平行于所述特征轴。
22.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积钨以用第一主体钨层来填充所述特征;
在去除所述钨的一部分之后接收所述衬底,所述接收的特征包括蚀刻过的钨层;并且
在所述蚀刻过的钨层上选择性地沉积第二主体钨层,其中所述第二主体钨层相对所述特征不保形。
23.一种方法,包括:
接收包括特征的衬底,所述特征具有特征开口、特征侧壁和封闭的特征末端,所述特征填充有包括空隙和/或接缝的保形主体钨层,所述空隙和/或接缝形成在所述保形主体钨层中;并且
蚀刻所述保形主体钨层的一部分,包括从所述特征的所述侧壁去除钨,以使钨实质上仅留在所述封闭的特征末端。
24.一种方法,包括:
接收包括特征的衬底,所述特征具有两个特征开口、特征侧壁和特征内部,所述特征填充有包括空隙和/或接缝的保形主体钨层,所述空隙和/或接缝形成在所述保形主体钨层中;并且
蚀刻所述保形主体钨层的一部分,包括从在所述特征开口附近的所述特征的所述侧壁去除钨,以使钨实质上仅留在所述特征内部中。
25.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内沉积第一主体钨层;
蚀刻所述第一主体钨层以形成蚀刻过的钨层,其中蚀刻所述第一主体钨层包括去除在所述特征内达到从所述一个或多个特征开口延伸的凹槽深度的实质上所有的钨;并且
在所述特征内沉积第二主体钨层。
26.根据条款25所述的方法,其中所述第一主体层仅部分地填充所述特征。
27.根据条款26所述的方法,其中蚀刻所述第一主体层包括横向蚀刻所述第一主体层的至少一区域。
28.根据条款25至27中的任一项所述的方法,其中所述第二主体钨层选择性地沉积在所述蚀刻过的钨层上。
29.根据条款25至27中的任一项所述的方法,其中所述第二主体钨层保形地沉积在所述特征内。
30.根据条款25至29中的任一项所述的方法,其中所述特征包括收缩部,并且所述凹槽深度延伸通过所述收缩部。
31.根据条款25至30中的任一项所述的方法,其中蚀刻所述第一主体钨层以形成蚀刻过的钨层包括打开形成在所述特征内的空隙。
32.根据条款25至31中的任一项所述的方法,进一步包括重复所述蚀刻和沉积操作一次或多次。
33.根据条款25至32中的任一项所述的方法,其中所述第一主体钨层相对于底层而被选择性地蚀刻,所述底层加衬于所述特征,所述第一主体钨层沉积在所述特征上。
34.根据条款25至32中的任一项所述的方法,其中所述第一主体钨层相对于底层而被非选择性地蚀刻,所述底层加衬于所述特征,所述第一主体钨层沉积在所述特征上。
35.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积硼层;
使所述特征内的一部分的所述硼层转变成钨,以在所述特征内留下剩余硼层;
选择性地蚀刻所述钨而不蚀刻所述剩余硼层;并且
使所述剩余硼层转变成钨。
36.根据条款35所述的方法,其中使所述硼层沉积到10nm或更大的厚度。
37.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积硼层,所述硼层具有至少约5nm的厚度;
使所述硼层特征的整个厚度转变成钨,以使所述特征的已填充部分经历体积膨胀;并且
重复所述保形沉积和转变操作一次或多次而部分或完全地以钨来填充所述特征。
38.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积无氟的氮化钨层;并且
使所述氟氮化钨层转变成无氟的钨层。
39.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
使用含卤素还原剂在所述特征内保形地沉积钨层;
抽出含卤素副产物;并且
在所述保形钨层上沉积无氟含钨。
40.一种设备,包括:
一个或多个腔室,其被配置成支撑衬底;
等离子体产生器,其被配置成在一个或多个所述腔室中或者远离一个或多个所述腔室产生等离子体;
气体入口,其被配置成引导气体进入所述一个或多个腔室的每一个中;以及
控制器,其包括用于引入一种或多种气体到所述一个或多个腔室中并且产生一种或多种蚀刻剂等离子体的程序指令以执行根据条款1至39中的任一项所述的方法。
参照附图进一步说明这些和其他方面。
附图说明
图1A至图1G示出了根据本文所述的过程能够以含钨材料进行填充的各种结构的实例。
图2和图2A是说明在用钨由里朝外地填充特征的方法中的某些操作的过程流程图。
图3A至图4B是在由里朝外特征填充的各种阶段的特征的示意图。
图5A至图5D是说明在各种温度下的钨(W)、钛(Ti)以及氮化钛(TiN)的蚀刻率和蚀刻选择性的图表。
图6是包括凹槽蚀刻过的钨层的特征的示意图。
图7是在凹槽蚀刻的各种阶段的特征的示意图。
图8是在使用凹槽蚀刻的特征填充的各种阶段的特征的示意图。
图9A是用于说明蚀刻保形性调变的在蚀刻的各种阶段的特征的示意图。
图9B示出了对于不同蚀刻剂流量的作为蚀刻温度函数的钨蚀刻率的图表。
图10是在使用非保形蚀刻的特征填充的各种阶段的特征的示意图。
图11是在使用钨成核的选择性抑制的特征填充的各种阶段的特征的示意图。
图12示出了关于在高与低功率蚀刻之后所沉积的膜的时间主体层生长延迟的图表。
图13A是在使用硼转变成为钨的特征填充的各种阶段的特征的示意图。
图13B是说明在使用硼部分转变成为钨来填充特征的方法中的某些操作的过程流程图。
图13C和图13D是说明在使用无氟含钨层来填充特征的方法中的某些操作的过程流程图。
图14至图23是在特征填充的各种阶段的三维垂直NAND(3-DVNAND)特征的示意图。
图24至图25B示出了适用于实施本文所述的方法的设备的实例的示意图。
具体实施方式
在下列说明中,为了提供对本发明的彻底了解而提出许多具体细节。本发明可在不具有部分或所有这些具体细节的情况下实施。在其他情况下,为了不对本发明造成不必要的混淆,不详述众所周知的过程操作。虽然本发明将结合具体实施例来进行说明,但应当理解的是,这并非旨在将本发明限制于这些实施例。
本文描述了以钨来填充特征的方法以及相关的系统和设备。应用的实例包括逻辑与内存接点填充、DRAM埋入式字线填充、垂直集成内存栅极/字线填充、以及具有硅通孔(TSV)的3-D集成。本文所述的这些方法可以用于填充例如在钨通孔中的垂直特征、以及例如垂直NAND(VNAND)字线的水平特征。这些方法可用于保形的且由下而上或由里朝外的填充。
根据各种实施例,这些特征可以表征为窄和/或内凹开口、此特征内的收缩部、以及高深宽比中的一个或多个。可被填充的特征的实例如图1A-1C所示。图1A示出了有待以钨填充的垂直特征101的横截面图的实例。此特征可包括位于衬底103中的特征孔105。此衬底可以是例如200mm晶片、300mm晶片、或450mm晶片之类的硅晶片,包括其上沉积有一层或多层材料(例如介电、导电、或半导电材料)的晶片。此特征可形成在这些层中的一个或多个中。例如,此特征可至少部分地形成在介电层中。在某些实施例中,特征孔105可具有至少约2:1、至少约4:1、至少约6:1或更高的深宽比。特征孔105在开口附近也可以具有介于约10nm到500nm的尺寸(例如开口直径或线宽),例如介于约25nm到300nm。特征孔105可以被称为未填充特征或仅称为特征。特征101、以及任何特征可部分地表征为延伸穿过此特征的长度的轴118,而垂直定向特征具有垂直轴并且水平定向特征具有水平轴。
图1B示出了具有内凹轮廓的特征101的实例。内凹轮廓为从特征的底部、封闭端、或内部往特征开口变窄的轮廓。根据各种实施例,此轮廓可逐渐变窄并且/或者在特征开口处包括突出部。图1B示出了后者的实例,底层113加衬于特征孔105的侧壁或内部表面。底层113可以是例如扩散屏障层、粘合层、成核层、其组合、或任何其他能适用材料。底层的非限制性实例可包括介电层与导电层,例如硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物、以及金属层。在特定实施例中,底层可为Ti、TiN、WN、TiAl、以及W中的一个或多个。底层113形成突出部115,以使底层113在特征101的开口处附近比在特征101内部更厚。
在某些实施例中,可填充在特征内具有一个或多个收缩部的特征。图1C示出了具有收缩部的各种已填充特征的视图的实例。图1C中的每一个实例(a)、(b)以及(c)在此特征内的中点处都包括收缩部109。收缩部109的宽度例如在约15nm至20nm之间。在使用常规技术在特征中沉积钨的期间,收缩部可能会引起夹止(pinch-off),在特征的部分被填充之前,所沉积的钨会妨碍通过收缩部的进一步的沉积,从而在特征中造成空隙。实例(b)在特征开口处进一步包括衬垫/屏障突出部115。这种突出部也可能是潜在的夹止点。实例(c)包括收缩部112,其比实例(b)中的突出部115更远离场区域。如进一步在下文中所述,本文所述的方法可进行如图1C所示的无空隙填充。
也可以填充例如3-D内存结构的水平特征。图1D示出了包括收缩部151的水平特征150的实例。例如,水平特征150可以是VNAND结构中的字线。
在某些实施例中,可能会因为在VNAND或其他结构中存在有支柱而引起收缩部。例如,图1E示出了VNAND或垂直集成内存(VIM)结构148中的支柱125的平面图,而图1F则示出了支柱125的横截面简化示意图。图1E中的箭头代表沉积材料;当支柱125配置在区域127与气体入口或其他沉积源之间时,邻接的支柱可能会造成收缩部151,这些收缩部会对区域127的无空隙填充提出挑战。
例如,可以通过在衬底100上沉积交替的层间介电层129与牺牲层(未图示)的堆栈并且选择性蚀刻牺牲层而形成结构148。例如,这些层间介电层可以是氧化硅和/或氮化硅层,并且这些牺牲层可以是用蚀刻剂选择性蚀刻的材料。在此之后,可进行蚀刻与沉积过程以形成支柱125,其可包括已完成的内存装置的信道区域。
衬底100的主表面可在x与y方向上延伸,而支柱125朝z方向。在图1E与1F的实例中,支柱125以偏移方式进行排列,以使在x方向上紧邻的支柱125在y方向上互相偏移,反之亦然。根据各种实施例,可以用许多方式来排列这些支柱(以及因邻接支柱所形成的对应收缩部)。此外,支柱125可以是包括圆形、方形等的任何形状。支柱125可包括环状半导电材料,或圆形(或方形)半导电材料。栅极介电质可包围此半导电材料。可以以钨来填充每个层间介电层129之间的区域;因此,结构148具有多个待填充的堆栈的水平定向特征,这些水平定向特征在x和/或y方向上延伸。
图1G提供例如包括支柱收缩部151的VNAND或其他结构的水平视角特征的另一实例。图1G的实例为开放式,待沉积的材料能够如箭头所示水平地从两端进入。(应注意到图1G的实例可被视为这种结构的3-D特征的2-D绘图,且图1G为待填充区域的横截面图,并且此图中所示的支柱收缩部表示可在平面图而非横截面图观看到的收缩部。)在某些实施例中,3-D结构可利用沿着二或三维空间(例如在图1F的实例中在x与y或x、y与z方向上)延伸的待填充区域来表征,并且可能会提出比填充沿着一维或二维空间延伸的孔洞或沟槽更多的填充挑战。例如,当沉积气体可从多个维度进入特征时,控制3-D结构的填充会具有挑战性。
以含钨材料来填充特征可能会在已填充的特征内部引起空隙与接缝的形成。空隙是特征内未被填充的区域。例如当所沉积的材料在特征内形成夹止点时可能会形成空隙,以密封住特征内的未填充空间而妨碍反应物进入并沉积。
存在关于空隙和接缝形成的多个潜在起因。其中之一是在沉积含钨材料或者更典型地沉积例如扩散屏障层或成核层之类的其他材料期间形成在特征开口附近的突出部。图1B示出了实例。
未在图1B中说明但可能会导致接缝形成或导致放大接缝的空隙或接缝形成的另一个起因是弯曲(或弓状)特征孔侧壁,也被称为弓状特征。在弓状特征中,位于开口附近的空穴的横截面尺寸小于此特征内部的横截面尺寸。在弓状特征内的这些较窄开口的影响与上述突出部的问题有几分相似。例如图1C、1D以及1G所示的特征内的收缩部也会对于几乎不具有或不具有空隙与接缝的钨填充提出挑战。
即使实现了无空隙填充,特征内的钨仍可能包括贯通通孔、沟槽、线或其他特征的轴或中间的接缝。这是因为钨生长可在侧壁处开始并且持续直到晶粒与从相对侧壁生长的钨会合为止。此接缝可能会使包括含氟化合物(例如氢氟酸(HF))的杂质被困住。在化学机械研磨(CMP)期间,成核现象也可能从接缝传播开来。根据各种实施例,本文所述的方法可减少或消除空隙与接缝形成。本文所述的方法也可解决下列事项中的一或多者:
1)极具挑战性的轮廓:在大部分内凹特征中可使用如美国专利申请第13/351,970号(其是通过引用的方式并入本文中)所述的沉积-蚀刻-沉积循环来获得无空隙填充。然而,根据尺寸与几何形状,可能会需要多个沉积-蚀刻循环来获得无空隙填充。此可能会影响过程稳定性与产量。本文所述的实施例可提供具有较少或不具有沉积-蚀刻-沉积循环的特征填充。
2)小特征与衬垫/屏障影响:在特征尺寸为极小的情况下,调整蚀刻过程而不影响底层衬垫/屏障的完整性可能非常困难。在某些情况下,可能会在W-选择性蚀刻期间发生间歇性Ti侵蚀,这可能起因于在蚀刻期间形成钝化TiFx层。
3)在W晶粒边界处的散射:在特征内部存在多个W晶粒可能会因为晶粒边界散射而造成电子损失。因此,相比于理论预测与覆盖(blanket)晶片结果,实际装置性能将会被降低。
4)用于W填充的减小的通孔容积:特别是在较小与较新的特征中,金属接点的明显部分被W屏障(TiN、WN等等)耗尽。这些膜一般具有比W更高的电阻系数并且会负面地冲击像是接触电阻等等电特性。
本文提供了以钨来填充特征的各种方法,这些方法减少或消除空隙与接缝形成。这些方法可用于特征的包括垂直和水平定向在内的任何定向的特征填充。在某些实施例中,这些方法可用于填充相对于衬底的平面而具有倾斜定向的特征。在某些实施例中,这些方法可用于填充具有多个定向的特征。这种特征的实例包括3-D特征,在其中沉积气体可垂直和横向地进入特征。另外,在某些实施例中,这些方法可用于填充在单个衬底上具有不同定向的多个特征。
以下说明水平定向和垂直定向特征的特征填充的实例。应注意到在大部分情况下,这些实例可应用在水平定向或垂直定向特征两者。此外,还应注意到在以下说明中,“横向”一词可用于指代大体上与特征轴正交的方向,而“垂直”一词则指代大体上沿着特征轴的方向。
尽管以下描述集中在钨特征填充,但是本发明的多个方面也可以在使用其他材料填充特征中实施。例如,使用由里朝外特征填充、蚀刻保形性调变、还原剂转换、使用作为蚀刻停止剂的未转换的还原剂的部分还原剂转换以及无卤素填充中的一种或多种上述技术的特征填充可以用于使用其他材料填充特征,其他材料包括其他含钨材料(例如,氮化钨(WN)和碳化钨(WC))、含钛材料(例如,钛(Ti)、氮化钛(TiN)、硅化钛(TiSi)、碳化钛(TiC)和铝化钛(TiAl)、含钽材料(例如,钽(Ta)和氮化钽(TaN))和含镍材料(例如,镍(Ni)和硅化镍(NiSi))。
由里朝外(inside-out)填充
在内凹特征内的常规钨沉积从侧壁表面开始并且横向地进行(即正交于侧壁表面与特征轴)直到此特征被夹止为止。就本文所述的由里朝外填充而言,钨生长从特征垂直地(即沿着特征轴)进行。在某些实施例中,消除或延迟从特征侧壁的钨生长,以允许钨由里朝外生长。这可能造成:大钨晶粒以及较低电阻系数、沿着特征轴无接缝产生以及在化学机械研磨(CMP)期间降低成核现象、消除并减少特征内的空隙。
本文所述的实施例可包括特征内的钨沉积,随后进行蚀刻以去除沉积在侧壁上的钨的所有或部分,同时留下的钨还位于此特征的里面,例如,在特征的封闭端(如在垂直定向特征的底部上或在水平定向特征的封闭端)、或在具有多个开口的水平定向特征的内部中。初始的沉积物可以对从该特征的所有可进入表面均匀生长的钨保形。然后,后续的沉积可以是“选择性的”,因为钨优先在此特征内留下的钨上而不是在底层或衬底结构上生长。在某些实施例中,整个沉积过程(例如保形沉积-蚀刻-选择性沉积)可以表征为由里朝外而非保形。由里朝外填充是指从特征内部发生的生长,并且对于例如图1A与1B中的垂直封闭式结构可被称为“由下而上”填充。
图2是说明由里朝外填充的方法的某些操作的过程流程图。此方法可起始于在方框201中,在特征内保形地沉积钨。在某些实施例中,方框201可包括钨成核层的沉积,然后进行主体沉积。以下进一步说明钨成核层沉积与主体沉积技术。在某些实施例中,若例如特征包括支持钨沉积的底层,则方框201可仅包括主体沉积。在包括收缩部或其他易被夹止的特征内,可执行方框201至少直到特征被夹止为止。在保形沉积中,沉积从每个表面开始并且以大体上与此表面正交的生长方式进行。特征内的钨生长起始于每个侧壁并且进行直到此生长将特征夹止为止。在某些实施例中,在方框201中所沉积的钨的量可基于最窄特征尺寸来决定。例如,若最窄尺寸为50nm,则可允许方框201中的CVD反应进行得足够长以在每个表面上沉积25nm,此时,所沉积的钨会妨碍反应物进一步扩散到此特征内。这通常可在此反应之前基于反应动力学、钨成核层厚度等等来决定。在某些实施例中,方框201可包括如美国专利申请第13/016,656号(其通过引用的方式并入本申请中)所述的多个沉积-蚀刻-沉积循环。在某些实施例中,方框201不包括任何蚀刻操作,而只有直到至少此特征被夹止为止的沉积操作。方框201可在单个腔室、多站的单一站或单一站腔室内、在多站设备的多个站内、或在多个腔室内发生。例如,方框201可包括位于腔室的一个站内的钨成核层沉积,然后是位于此腔室的另一个站内的主体沉积。
此过程可在方框203中继续,在其中进行钨的部分蚀刻。部分的钨留在特征内,但该蚀刻使钨从特征的至少部分侧壁去除。方框203通常包括利用例如含氟物质或其他蚀刻剂物质的化学蚀刻。在某些实施例中,可使用活化物质。活化物质可包括原子物质、自由基物质、以及离子物质。为了这些应用目的,将活化物质与再结合物质以及最初进给到等离子体产生器内的气体予以区别开来。例如,部分蚀刻所沉积的钨可包括对在远程或原位等离子体产生器内所产生的蚀刻剂物质的暴露。在某些实施例中,可相继或同时使用远程产生的等离子体物质与原位产生的等离子体物质两者。在某些实施例中,使用F2、CF3Cl、或其他蚀刻剂化学品的非等离子体化学蚀刻可被使用。方框203可与方框201在相同的腔室内发生或者在不同的腔室内发生。以下进一步说明在特征内蚀刻钨的方法。根据特征结构,蚀刻可保形或非保形。另外,回蚀刻大体上可横向地(与特征轴正交)和/或垂直地(沿着特征轴)进行。
根据各种实施例,蚀刻可以对底层优先或不优先。例如,蚀刻可以对W优先,而例如Ti或TiN底层作为蚀刻中止层。在某些实施例中,此蚀刻可对W和Ti或TiN进行蚀刻,而底层介电层作为蚀刻中止层。
该过程接着在方框205继续,其中在剩余的钨上进行选择性沉积。选择性沉积指相对于钨被去除的侧壁或其他表面而在钨表面的优先沉积。在某些实施例中,选择性沉积过程可实质不将钨沉积在侧壁表面上。在某些实施例中,选择性沉积过程仍可将少量的钨沉积在侧壁表面上,但生长速率明显慢于钨表面上的沉积。例如,侧壁表面上的生长速率与所沉积的厚度可以是在钨表面上的一半或比其一半更少。在某些实施例中,它可以是十分之一或甚至百分之一。
在某些实施例中,方框205可不进行成核层的沉积。这可允许仅在特征内的剩余钨上进行选择性沉积。在许多实施例中,方框201可包括成核层的沉积以获得保形沉积,而方框205在不进行中间成核层沉积的情况下在蚀刻过的钨层上进行沉积。在某些实施例中,可将成核层沉积在特征的期望进一步生长的至少一部分上。若在方框205中将成核层沉积在不期望进行后续沉积的侧壁或其他表面上,可选择性地抑制这些表面上的钨成核。抑制在特征内的钨成核的方法详见于美国专利申请第13/774,350号,其通过引用的方式并入本申请中。
图2A说明在根据图2的由里朝外填充的实例中的某些操作的过程流程。此过程可起始于顺序地给含钨前体和一种或多种还原剂施加脉冲,以通过原子层沉积(ALD)或脉冲成核层(PNL)过程来形成钨成核层(201a)。形成可维持后续主体沉积的薄保形成核层。以下提供成核层沉积的进一步沉积。接着,同时将含钨前体和还原剂导入到容纳特征的腔室(201b)。这导致在方框201a中所形成的钨成核层上通过化学气相沉积(CVD)进行的主体钨层的沉积。就保形沉积而言,此主体钨层沿着下层钨成核层的轮廓延伸,此下层钨成核层沿着特征的轮廓延伸。如以上参考图2所述,然后对钨进行部分蚀刻(203)。此过程继续再次同时导入含钨前体和还原剂,以通过CVD来沉积另一主体层(205a)。在图2A的实例中,在方框205a中所沉积的主体钨层直接沉积在蚀刻钨上而不进行另一成核层的形成。
在某些实施例中,特征填充可包括用于填充特征的保形钨沉积,而甚至允许此沉积在特征内形成空隙或接缝的情况下进行,然后通过深蚀刻以打开特征,以及在特征内选择性沉积。根据各种实施例,这种用于填充特征的保形沉积可包括达到特征的顶部的填充或者仅通过收缩部或夹止点之间的填充。在任一种情况下,包括接缝和/或空隙的特征的部分在深蚀刻之前可能会封闭而防止进一步的沉积。
先前的方案在第一沉积操作期间包括部分填充,使得通孔或其他特征打开而不被封闭。在后续蚀刻步骤期间,在这些方案中,针对该场中和夹止点中的钨去除的后续蚀刻可能会具有去除通孔或其他特征内部的钨的不良副作用。然后,后续沉积步骤可在通孔或其他特征内部以及在夹止点处使钨以相同的速率重新生长,以造成与只沉积的特征填充相同的钥孔空隙。相比之下,本文所述的方法可在特征内留下部分生长物,而此部分生长物会造成后续沉积操作中的选择性沉积。例如,过程可包括蚀刻已夹止的通孔,以从此场与夹止点将钨完全去除,而使通孔内部的部分钨生长物完整无缺。后续钨沉积可在通孔内部的既有钨层上进行钨的重新生长,且同时此场内的显著生长延迟可在进行最终通孔填充时防止夹止与空隙。如上所述,此显著生长延迟可至少部分归因于维持钨生长的表面的去除。在某些实施例中,相对于底层,可以优先蚀刻钨。例如,包括TiN/W(氮化钛底层/钨层)双层的特征可进行钨优先的蚀刻。此优先蚀刻(也被称为选择性蚀刻)可从场和夹止点将钨去除,而不蚀刻穿过在场和夹止点的TiN。后续沉积可在特征的内部而非在场上或夹止点的侧壁上进行钨的重新生长。因此,此生长由里朝外(例如由下而上)而非保形。以下就图3A、3B、4A以及4B来说明各种实施例。
在某些实施例中,特征填充可以涉及:1)用于夹止特征的沉积;2)用于通过夹止点去除钨的深蚀刻;3)位于夹止点下方通过选择性沉积的填充;以及4)特征的其余部分的填充。在某些实施例中,(2)涉及对TiN、Ti或其他底层上方的钨具有选择性(即,钨优先)的蚀刻条件。图3A示出了使用这种方法的特征填充的横截面示意图的实例。首先,在操作310,使用保形沉积技术来填充包括夹止点351以及TiN底层313的特征301。允许持续沉积,以使此特征被夹止并且也使钨沉积在场区域317上。此操作中的沉积物大体上对于此特征可为保形,而在已填充的特征301内留下空隙312。图3C示意性地示出了保形沉积的实例,图中图示了在特征301内的钨生长阶段350、360和370。例如,阶段350、360和370可表示在特征301内沉积钨的CVD工艺的进行阶段。在阶段350,钨层302保形地加衬于特征301。如阶段360所示,钨生长持续以正交方式从特征表面通过大体均匀生长的方式进行。在阶段370,在夹止点351处来自侧壁表面的生长封闭了特征301而留下空隙312。返回图3A,在某些实施例中,在310的填充可为完全保形。在某些其他实施例中,此填充物可以大体上保形,具有某些非保形方面。例如,在夹止点351可抑制钨成核,以延迟封闭特征301。在任一情况下,空隙312在操作310之后出现。
在操作320,以对钨具有选择性的蚀刻来打开特征301。也就是说,使用蚀刻化学品来蚀刻特征301,此蚀刻化学品可蚀刻钨而不明显蚀刻底层313。在图3A的实例中蚀刻钨而不蚀刻氮化钛。允许进行此蚀刻直到清除夹止点351的钨为止。特征301可维持封闭直到蚀刻过程结束为止,以使位于此夹止点下方的钨保持完整无缺。在同时,对在场内以及位于夹止点处或夹止点上方的钨进行过度蚀刻,从而露出底层。因为使用选择性蚀刻,所以氮化钛层313会留在此场区域与夹止点351的侧壁上。因此,在夹止点351下方的特征301内存在钨303,而沿着位在夹止点351以及在夹止点351上方的侧壁几乎不具有钨。若有的话,任何留下来的钨通常也都不足以维持在侧壁的高质量一致生长。例如,在一些实施例中,其可以是不连续膜。
在操作330,将钨选择性地沉积在特征301内的剩余钨303上。因为钨仅存在于夹止点351下方,所以将钨选择性地沉积在夹止点351下方。此操作中的填充可表征为由下而上。
在某些实施例中,可在操作320之后直接执行操作330。因为相比于在夹止点351以及在此夹止点上方露出的底层313上的缓慢钨生长,在特征301内的既有钨303上存在较快速的重新生长,所以沉积为具有选择性。在某些实施例中,在操作330之前可抑制夹止点351上的钨成核。虽然图未示出,但在某些实施例中,可重复操作320和330一次或多次。例如,若当所执行的操作330导致形成接缝时,可在另一选择性沉积操作之前执行蚀刻以去除此接缝。以下参考图3B来说明去除接缝。
接着可允许填充继续以完全填充特征301。在某些实施例中,特征内的选择性较快重新生长可允许在顶部夹止之前进行完全填充(未图示)。在某些实施例中,可重复蚀刻和选择性填充过程一次或多次以实现完全填充。若特征在操作320和330的一次或多次重复之后未被完全填充,则在某些实施例中,可执行操作340,在其中执行保形填充以完成特征301的填充。在某些实施例中,操作340可包括在夹止点351的侧壁上的钨成核层的沉积。在某些实施例中,可在操作340减少在夹止点的先前选择性抑制处理的作用,以允许不具有成核层沉积的保形填充。
在某些实施例中,特征填充可包括:1)用于填充特征的沉积;2)用于通过接缝形成处去除钨的深蚀刻;3)通过选择性沉积进行的填充;以及4)特征的剩余部分的填充。图3B示出了使用这种方法的特征填充的横截面示意图的实例。首先,在操作315中,使用保形沉积技术来填充包括氮化钛底层313的特征。在此实例中,特征301具有实质垂直的侧壁且不具有收缩部,因此在特征301内不会形成空隙。然而,接缝314会沿着特征301的轴形成,从每一侧壁的生长会在此轴会合。当生长也从特征301的底部发生时,接缝形成会在特征底部上方的点352开始。沉积会在接缝形成开始之后的某一点被终止;因为特征内的标准CVD-W过程的保形本质,也就是说通常涉及在操作315中完全填充特征,如图3B的实例所示。此终点可在沉积之前基于特征尺寸与钨沉积速率来决定。在某些实施例中,在操作315中可使用成核抑制和/或一次或多次非保形蚀刻来修改特征轮廓,以使特征在接缝形成处仅被部分地蚀刻。
接着,在操作325,以对钨具有选择性的蚀刻来打开特征,而氮化钛(或其他底层)313作为蚀刻中止层。允许蚀刻进行至少到达接缝形成点352,而在接缝形成点352或其下方留下层303。应注意到在操作325中所执行的蚀刻在某些方面可不同于在参考图3A所述的操作320中所执行的蚀刻。在操作325中,执行保形蚀刻以均匀去除位于特征内的钨直至到达此接缝形成点为止。以下进一步说明控制蚀刻保形性的方法。相比之下,在操作320中,蚀刻仅去除在特征的顶部附近的钨并且较为非保形。然而,应注意到在操作320期间,用于非保形蚀刻的条件可以是不必要的,因为封闭夹止点351的存在会防止蚀刻剂扩散到空隙312内。
返回图3B,在场内以及在此接缝形成点上方的钨被过度蚀刻,由此露出底层313。因为使用选择性蚀刻,所以氮化钛层313会留在场区域上以及特征301的侧壁上。因此,在接缝形成点下方的特征301内存在有钨303,而沿着在接缝形成点352处以及在此接缝形成点上方的侧壁几乎不具有钨。
接着,随后在操作335,利用由位于特征底部中的钨层303上的较快生长动力学所引起的选择性来执行造成由下而上的选择性沉积。如同在参考图3A所述的实例中,在某些实施例中,选择性可进一步通过在蚀刻操作325之后且在沉积操作335之前在特征301内的钨成核的选择性抑制引起。使用选择性抑制的由下而上填充法详见于美国专利申请第13/774,350号中,其通过引用的方式并入本申请。在某些实施例中,可执行操作335直到对特征进行填充而达到特征的顶部为止。在某些其他实施例中,尤其是如果部分侧壁生长发生而形成另一接缝的话,则特征填充可在到达特征顶部之前的某一点被停止。在图3B所示的实例中,在特征301的完全或部分填充之后,执行第二蚀刻操作326以再次从侧壁将钨去除。在此蚀刻操作中去除此接缝。然后执行选择性沉积336以填充此特征。可重复这些选择性蚀刻和沉积操作一次或多次以填充此特征。
根据各种实施例,上述方法也可以用于水平定向特征的由里朝外填充。此外,虽然以上就图3A与3B所述的方法是使用去除钨并同时使底层完整无缺的选择性蚀刻,但在某些实施例中,在蚀刻期间可去除屏障层或其他底层。
图4A示出了由里朝外填充的另一实例,在其中填充包括收缩部451的例如字线(WL)之类的水平定向特征401。特征401包括介电质419与氮化钛413底层。(应注意到在某些实施例中,如果图像410为侧视图,则收缩部451例如可表示位于延伸到页面内的平面上的收缩区域,其是由3-D结构中的支柱布置所引起。)顶部图像410示出了使用标准CVD的WL填充,其中在氮化钛层413上的特征内保形地沉积钨成核层,然后在此钨成核层上进行CVD沉积。钨402填充此特征,而明显的空隙412存在于通过收缩部451的WL中。此外,接缝414存在于通过收缩部451到特征401的开口的钨填充物中。
在此处所述的方法中,填充可起始于操作420,用于部分填充特征的保形沉积包括夹止空隙412。操作420可包括在氮化钛413上进行保形钨成核层沉积,然后进行CVD沉积直到填充此收缩部为止,由此夹止空隙412。如上所述,可基于收缩部451的尺寸以及钨沉积速率来决定沉积终点。
在操作430执行钨和氮化钛的保形蚀刻,以去除沉积在收缩部451与特征401的开口间的钨与氮化钛。在某些实施例中,蚀刻温度可以相当高,以增大蚀刻非选择率。介电层419可作为蚀刻中止层。此蚀刻可被视为“横向”或者在与特征轴正交的方向上。此蚀刻可在操作440继续,在其中蚀刻通过收缩部451,以去除钨与氮化钛直到空隙被去除为止,而仅留下钨底层403。此时,此蚀刻可被视为“垂直”或者在与特征轴平行的方向上。(应注意到从操作430到440,蚀刻条件不一定要改变;蚀刻的方向可因为待去除的沉积钨的厚度与位置而改变。)层403可作为用于后续选择性沉积的底部钨晶种层。接着在操作450执行选择性由里朝外填充。仅将钨选择性地沉积在已有钨晶种层403上而不沉积在介电质419上。与上述方法一样,在某些实施例中,可重复蚀刻和选择性沉积操作一次或多次。此结果是无空隙、无接缝层404,其具有比在410所示出的以常规CVD进行填充的层402较大的晶粒以及较少的晶粒边界。此外,TiN底层413仅存在于此特征的底部。可不需要位于钨层404与介电层419之间的屏障层;钨与氮化钛的非选择性蚀刻可允许较多的WL容积被钨层404所占据。
在某些实施例中,为了改善由里朝外填充的钨对衬底的粘着性,可在选择性沉积期间将粘合层沉积在特征内,和/或在特征的由里朝外填充之前、期间或之后将粘合层沉积在场区域上。例如,在图4A中,操作450中的由里朝外生长可在某一点被终止,然后进行粘合层沉积,然后继续进行钨沉积。以下在图4B中示出了实例。
在某些实施例中,这些方法可包括以适当覆盖厚度来填充特征的钨沉积。在某些情况下,如以上所参照的美国专利申请第13/016,656号所述的沉积-蚀刻-沉积顺序可用于实现无空隙填充。在填充特征之后,可在使特征内的钨形成凹槽的情况下蚀刻特征,并且在同时去除位于场以及沿着侧壁到达凹槽深度平面的任何底层,例如TiN、Ti、WN、或无氟钨(FFW)中的一种或多种。根据各种实施例,可以去除或不去除底层介电质。在进行凹槽蚀刻之后,可以以沿着特征的轴的由里朝外(由下而上)生长方式来进行主体钨沉积。在某些实施例中,若去除衬垫层、屏障层、或粘合层或其他底层,则可在进行场内的钨沉积以及进行CMP之前,在场内和/或沿着特征侧壁沉积另一底层。
在图4B中提供在凹槽蚀刻后进行由里朝外填充的方法的实例中的特征401的横截面图。首先,在460,以钨402来填充特征401。特征401包括底层461与463,其可例如为Ti、TiN、WN、TiAl等中的任何一种。接缝414存在于特征401内。在462,执行凹槽蚀刻,以去除钨402、以及底层461与463而到达凹槽深度平面,留下蚀刻过的钨层403。在替代实施例中,可以通过选择性蚀刻来留下底层461或底层461和463。凹槽蚀刻去除在特征401的凹陷容积内的接缝414,此有助于防止在后续CMP操作期间的成核现象。因此,可至少在某种程度上基于离特征开口够远以使接缝414将不影响CMP的距离而选择凹槽深度。以下进一步说明用于执行凹槽蚀刻的方法。然后在464,在由里朝外填充操作中以钨来填充特征。如上所述,由里朝外填充包括在留在特征401内的蚀刻过的钨403上进行选择性沉积。这得到具有大、垂直定向晶粒生长的由里朝外填充钨层404。在466,终止由里朝外生长并且在特征401之上沉积一个或多个层465。层465可以例如是粘合层或屏障层。实例包括Ti、TiN、Ti/TiN、以及WN。然后可根据钨在一个或多个层465的暴露表面上如何合适地生长,而将钨成核层沉积在一个或多个层465上。在某些实施例中,层465为钨成核层。接着在468沉积覆盖钨层405。虽然可能不用由下而上的生长方式来沉积覆盖层405,但其将在CMP期间被去除,所以可以不引起对于成核现象的担忧。在470描述了在CMP之后的特征401。除了不在CMP期间引起成核风险的钨层404以外,层404的大晶粒以及被层404所填充的较大容积还提供改善的电特性。
参考图2、图2A、图3A、图3B、图4A以及图4B所述的方法具有各种优点。例如,虽然在大部分内凹特征内可根据尺寸与几何形状而使用如以上所参照的美国专利申请第13/351,970号所述的部分沉积-蚀刻-沉积循环来实现无空隙填充,但可能会需要多个沉积-蚀刻循环来实现无空隙填充。这可能会影响过程稳定性与产量。本文中例如就图3A所述的实施例能够以较少的循环来提供内凹特征的特征填充。
即使实现无空隙填充,特征内的钨仍可能包括贯通通孔的轴、沟槽的中间、或其他特征轴的接缝。这是因为钨生长是在侧壁处开始并且持续直到晶粒与从相对侧壁生长的钨会合为止。此接缝可能会使像氢氟酸(HF)这样的杂质被困住,以及CMP成核现象也可能从此接缝传播开来。如图3B、图4A、以及图4B所示,本文所述的由里朝外填充法可消除或减少接缝。不像一般从侧壁的钨生长,由里朝外填充法可促进从底部或内部钨晶种层的垂直钨生长(即,沿着特征的轴的生长)。因此,可避免接缝的形成,以提供下列优点:例如不沿着接缝困住CMP浆料、不使像HF这样的气体杂质困在接缝内、以及使在装置内的接缝处的电子传输损失降至最低。
特征内部的多个W晶粒的存在可能会因为晶粒边界散射而造成电子损失。相比于理论预测与综合晶片结果,实际装置性能将会被降低。参考图2、图2A、图3A、图3B、图4A以及图4B所述的方法可产生较少的晶粒边界,以降低电阻并且改善性能。例如,参考图3B,消除了在接缝314处的晶粒边界。在某些实施例中,存在于层303中的垂直定向晶粒可在后续选择性沉积操作中持续生长,以减少晶粒边界的数量。
在特征尺寸为极小的情况下,调整蚀刻过程而不影响底层衬垫/屏障的完整性可能非常困难。在某些情况下,可能会在W选择性蚀刻期间发生间歇性钛侵蚀,这可能起因于在蚀刻期间的钝化TiFx层的形成。因此,不依靠选择性蚀刻的方法可避免间歇性钛侵蚀以及选择性蚀刻小特征的挑战。在某些实施例中,例如图4A与4B所示的方法,去除例如钨屏障与衬垫等底层。这样,不必为了避免危及衬垫/屏障完整性而严格控制钨蚀刻量。这对于具有非常薄的钨膜的极小特征可以是有用的。例如,在衬垫为钛的情况下,即使蚀刻过程是对钛或氮化钛极具选择性的蚀刻,仍可能会发生钛膜的氟侵蚀。通过将钛去除,可防止氟对钛膜的侵蚀。
另外,若金属接点的有效部分被钨屏障或其他底层(TiN、WN等等)耗尽,这可能会使电阻增加。这是因为这些膜具有比钨更高的电阻系数。这可能会对像是接触电阻之类的电特性造成负面影响。例如,在像是2x与1x nm接点之类的极小特征中,此接点的有效部分可被具有比钨要高得多的电阻系数的屏障材料(TiN、WN等等)耗尽。通过蚀刻此屏障并且使用此容积来生长钨,可预期有改善的电性能。
在某些实施例中,由里朝外填充法可包括改善的过程控制与再现性,因为其可使用保形蚀刻过程,而不使用在特征开口处优先进行蚀刻的微调蚀刻过程。在某些工艺条件下,可在通孔、沟槽-线、以及其他特征内部生长单晶粒、无接缝、以及由里朝外的钨。以下参考图15、图16、图17以及图19来说明由里朝外填充法的进一步实例与优点。
根据各种实施例,本文所述的方法可包括使用选择性或非选择性的蚀刻。这些方法可使用调整蚀刻选择率以使相对于各种底层,蚀刻W优先或非优先的方法。例如,这些方法可使用相对于TiN与Ti,蚀刻W优先、或相对于W,蚀刻TiN与Ti优先、或非优先。
在某些实施例中,蚀刻特征填充材料包括下游(远程产生的)以F为基础的等离子体。图5A与图5B示出了在供应至远程等离子体源的20sccm三氟化氮(NF3)下作为温度函数的钨(W)、氮化钛(TiN)以及钛(Ti)的蚀刻速率以及W:Ti及W:TiN的蚀刻选择率。如在这些附图中所观察到的,可通过控制温度来调整W:TiN与W:Ti的蚀刻选择率,随着温度降低,蚀刻会变成对W优先。增加温度也可以提供相对于W,TiN和Ti优先的蚀刻。图5C与图5D示出了在50sccm下的温度效果。这些图表示出了可调节温度与流率而调整蚀刻选择率。进一步信息详见于2012年7月28日提交的美国专利申请第13/536,095号,该专利申请通过引用的方式并入本申请中。如在此所述,可控制温度、蚀刻剂流率、以及其他参数而提供范围从<0.5:1到>100:1的W:TiN与W:Ti的蚀刻选择率。例如,可在小于100℃或75℃的温度下使用远程产生的氟自由基来执行相对于TiN对W具有选择性的蚀刻。同样地,可在较高的温度下执行非选择性蚀刻。
凹槽蚀刻与蚀刻阶梯覆盖率调变
在某些实施例中,本文所述的方法是提供在已填充的特征内将随同垂直凹槽蚀刻一起的横向蚀刻与期望程度的保形性相结合的能力。对于像埋入式字线(bWL)以及一体柱状储存器(OCS)这样的某些新技术与应用,可仅对特征的下部分填充钨,而就上部分来说,开放容积则允许以不同的材料来填充。图6示出了具有凹陷钨层603的特征601的实例。凹陷层603从开口602凹陷,凹陷深度D实质上均匀跨过特征601。由于标准W-CVD为保形沉积过程并且钨横向地从侧壁生长到特征内,所以这种轮廓难以使用标准W-CVD工艺来加以获得。在某些实施例中,可以用另一种材料来填充开放容积605。除了其中仅特征的下部分以钨填充的bWL与OCS应用以外,凹陷钨层还可以用作特征内的由里朝外填充的晶种。以上就图4B来说明实例。
在像是3-D NAND和垂直集成内存(VIM)装置的情况下,预期钨填充在夹止点位置处以及在夹止点位置外。在这种应用中,例如起因于WF6与H2分子或其他反应物间的反应的横向钨生长在夹止点位置防止WF6与H2扩散到夹止点外的较广区域而造成空隙化。本文所提供的方法可克服这种空隙化。在某些实施例中,两种方法之一或两者可被一起或分开使用。一种方法涉及使空隙能形成,然后用对底层可以具有或不具有选择性的蚀刻过程进行垂直蚀穿,打开空隙并且以钨进行重新填充。另一方法涉及部分钨沉积,然后谨慎地调整蚀刻条件以获得期望程度的蚀刻保形性,以使钨在夹止点处比在夹止点外被蚀刻掉更多。图1C示出了可能的引入(incoming)轮廓与导致的钨填充的某些实例。这两种方法的任一者或两者可以与以上就图2至图4B所述的由里朝外填充法一起使用。例如,图3A与图4A描绘其中空隙被打开以及以钨重新填充特征的方法。在另一实例中,图3B和图4B描绘可使用部分沉积-蚀刻-沉积方法的初始无空隙保形填充。此外,在任何由里朝外填充法中的选择性沉积可包括沉积-蚀刻-沉积技术,在其中调整蚀刻保形性以塑造出由里朝外钨生长。可根据既有空隙、晶粒定位以及特征几何形状而在特征内垂直地(沿着特征轴)或横向地(与特征轴正交,朝向侧壁)进行凹槽形成。
如上所述,W-CVD生长在相对于侧壁的横向方向上。为了获得如图6所示的最终轮廓,在某些实施例中,此结构完全以W-CVD所填充且然后进行垂直蚀刻以产生凹槽或开放容积的结构。在某些实施例中,蚀刻条件可以具有选择性,以仅对W进行蚀刻而避免对底层材料进行蚀刻。例如,图7示出了使用标准CVD-W过程以钨702所填充的特征701。执行凹槽蚀刻以形成凹陷钨层703与开放容积705。例如,可通过WN或其他材料来填充开放容积705。在某些实施例中,可以在一个、二个、或更多个蚀刻操作中执行凹槽蚀刻。例如,在第一操作中,进行快速的过程以去除在场区域720内的钨,然后进行受到较精细控制的过程以在区域722内进行蚀刻并且控制凹槽深度。在一实例中,可使用较高的温度、较高的蚀刻剂流率以及(针对以等离子体为基础的蚀刻而言)较高的等离子体功率来执行较快速的过程。对于较快速的蚀刻,示例的蚀刻率可介于之间。可使用较低的蚀刻剂流量、以及(针对以等离子体为基础的蚀刻而言)较低的等离子体功率来完成较慢、更受控制的过程。根据关于底层的期望蚀刻选择率,在受控制的蚀刻期间的温度可以低于或不低于在较快速的蚀刻期间的温度。对于受控制的蚀刻,示例的蚀刻率可介于之间。
在某些实施例中,类似的凹槽蚀刻可有助于在像图1C所示的结构之类的结构内实现填充。对于在图1C的图像(a)中的最简单情况,收缩部109将会在特征的下部分119内引起严重的空隙化。在某些实施例中,克服这种空隙化包括进行深蚀刻直到空隙被打开而允许以W-CVD重新填充空隙为止。在某些情况下,因为特征尺寸,所以深蚀刻对于此结构的顶部分121可以是横向(朝向侧壁)以及在收缩部109内可以是垂直的(在特征轴的方向上)。在某些实施例中,多个沉积-蚀刻循环可以用于在整体上获得完整的填充结果。图8说明了可能的顺序。
图8示出了对包括收缩部851的特征801进行填充的顺序。应注意到特征801可以是水平定向特征(例如图4A中的字线特征401)或垂直定向特征。特征801包括底层813与819。在810,示出了使用标准CVD-W的特征填充。注意到这类似于图4B所示的使用标准CVD-W的特征401的填充;钨802填充具有明显空隙812的特征,此空隙存在于特征801内并且通过收缩部851。
在如本文所述的方法中,填充可起始于操作820,进行保形沉积以部分地填充特征,这包括将空隙812夹止。操作820可包括在底层813上进行保形钨成核层沉积,然后进行CVD沉积直到收缩部851被填充为止,由此将空隙812夹止。如上所述,可基于收缩部851的尺寸以及钨沉积速率来决定沉积终点。在此阶段,此方法类似于在图4A中所述的方法。接着,在操作830执行对钨的蚀刻,以去除沉积在收缩部851与特征801的开口之间的钨。不像图4A的实例所述的方法,本实例中的蚀刻相对于底层813对钨具有选择性,以使底层813作为蚀刻中止层。在某些实施例中,在830所执行的蚀刻为如上所述的凹槽蚀刻,凹槽深度用849来标示。此蚀刻可在操作840继续,在其中蚀刻通过收缩部851,以去除位于特征的下部分852内的钨直到空隙被重新打开为止。在某些实施例中,包括剩余钨层803的特征尺寸可使得后续保形填充被执行而不在操作850中形成空隙。假使例如这些尺寸可使得收缩部851大约等于或宽于特征801的下部分852内的最窄尺寸,则后续保形沉积可用于无空隙填充。
在某些实施例中,在操作840中,从收缩部851完全将钨去除而不进行后续成核层的沉积,以进一步在后续操作中促进特征的下部分852的无空隙填充。在此情况下,钨可选择性地沉积在特征的下部分内的剩余钨803上。不像图4B中的操作450,特征的下部分852内的选择性沉积可在特征的下部分852内产生保形填充。可执行在收缩部内以及在某些实施例中在特征的上部分854内的钨成核的选择性抑制,以促进在特征的下部分852内的选择性沉积。
在某些实施例中,在操作840之后,为了改善填充,可执行一个或多个额外沉积-蚀刻循环。若执行的话,一个或多个额外沉积-蚀刻循环可包括重复操作820-840一次或多次。在某些其他实施例中,可以执行以下进一步描述的非保形蚀刻,以修改后续沉积的特征轮廓。
在图8的实例中,在850,执行保形沉积以完成无空隙特征填充。这可以包括钨成核层保形沉积,随后CVD沉积主体钨层,并且如上所述,这可以在操作820至840的一次重复之后或在一个或多个额外蚀刻及或沉积操作之后直接执行。
在更为复杂的几何形状中,顶部分的轮廓可以像图1C的图像(b)与(c)所示的实例一样为内凹的。因为各种原因,内凹区段可靠近于表面/场(参见例如图像(b)中的突出部115)或位于特征内部的更深处(参见例如图像(c)中的收缩部112)。在这些情况下,可遵循如图8所示的相同顺序直至达到倒数第二个步骤。然后可执行非保形蚀刻而在很少或不对下方进行蚀刻的情况下以仅在夹止区段处优先进行蚀刻。
非保形蚀刻的方面详见于美国专利申请第13/351,970号(该专利申请通过引用的方式并入本申请中),在其中以钨来对通孔进行部分填充,然后对钨进行以氟为基础的蚀刻,以使钨在开口附近比在特征的更内部处被蚀刻掉更多。此后可以是用于填充特征的钨沉积。(应注意到因为在特征的某些位置比在其他位置有更多的材料被去除的事实,所以适当地将美国专利申请第13/351,970号中的非保形蚀刻称为“选择性去除”。如其中所述的选择性去除与上述相对于另一种材料而对一种材料的选择性蚀刻有所区别。)非保形蚀刻也可以被称为优先或低阶梯覆盖率蚀刻。为了获得优先(或低阶梯覆盖率)蚀刻,谨慎地设计蚀刻工艺条件。合适的蚀刻温度、蚀刻剂流量以及蚀刻压力的组合可有助于获得期望的保形性。若对每一类型的内凹结构未正确地调整蚀刻保形性,那么这就可能甚至在沉积-蚀刻-沉积顺序之后造成低质量的填充。
阶梯覆盖率与(可用于反应的反应物物质)/(反应速率)成比例。对于本文所述的特征蚀刻的部分实施例而言,主要蚀刻剂为原子氟,这可被简化为:
钨阶梯覆盖率∝(原子F的浓度)/(蚀刻率)
因此,为了获得特定的钨蚀刻阶梯覆盖率(或期望的蚀刻保形性或蚀刻非保形性),NF3流率(或其他含氟流率)与蚀刻温度为关键参数,因其会直接影响原子氟的浓度与蚀刻率。像蚀刻压力以及载气流量这样的其他变量也带有一些重要影响。
在较高的温度下,引入的氟原子在特征入口处立即反应并且进行蚀刻,以产生较为非保形的蚀刻;在较低的温度下,引入的氟原子能够扩散并且蚀刻到特征的更内部,以产生较为保形的蚀刻。较高的蚀刻剂流率将会造成较多的氟原子产生,以使较多的氟原子扩散并且蚀刻到特征之中,以产生较为保形的蚀刻。较低的蚀刻剂流率将会造成较少的氟原子产生,其将会倾向于在特征入口处反应与蚀刻,以产生较为非保形的蚀刻。较高的压力将会引起较多的氟自由基再结合以形成分子氟。分子氟具有比氟自由基低的黏附系数,因此在蚀刻钨之前更轻易地扩散到特征内,从而造成较为保形的蚀刻。图9A示出了在具有不同轮廓的特征901与902内的部分沉积与蚀刻的横截面示意图。特征901包括位于此特征中间的收缩部951;而特征902则包括靠近特征开口的突出部915。标准CVD-W会分别因为收缩部951与突出部915所引起的夹止而在特征内产生空隙。在较低温度和/或较多蚀刻剂物质(在此实例中为氟自由基(F*))下,特征901的蚀刻是较为保形的蚀刻,以允许蚀刻剂物质扩散到特征的更内部。在较高温度和/或较小蚀刻剂浓度下,特征902的蚀刻是较为非保形的蚀刻。
图9B是不同NF3流量的作为蚀刻温度函数的蚀刻率的图表。可以通过设计出具有高NF3流率的低蚀刻率过程而增加蚀刻保形性。在一个实例中,标为“高度选择性与高度保形蚀刻”的区域示出了蚀刻(对Ti或TiN上方的W)具有选择性并且对此特征整体为高度保形的工艺条件。虽然所测试的最低蚀刻温度与最高NF3流量分别为25℃与100sccm,但可通过降低蚀刻温度以及增加NF3流量(更多的原子F自由基)而达到反应速率限制体系以实现甚至更高的保形性。相反地,可通过在以低NF3流量(较少的原子F自由基)获得高蚀刻率的质量传送限制体系中进行运作而增加蚀刻非保形性。例如,参见标示“轻度选择性与高度非保形蚀刻”的区域。以下提供在质量传送限制与反应速率限制体系中进行运作的进一步讨论。
在某些实施例中,保形蚀刻可包括下列工艺条件中的一个或多个:低于约25℃的温度、高于约50sccm的蚀刻剂流量、以及大于约0.5托的压强。在某些实施例中,非保形蚀刻可包括下列工艺条件的其中之一:高于约25℃的温度、低于约50sccm的蚀刻剂流量、以及大于小于约2托的压强。期望等级的阶梯覆盖率(例如60%阶梯覆盖率)可包括调整这些工艺条件中的一个或多个而使此过程约为保形。
可根据在特征内夹止的位置来修改蚀刻过程而获得期望的蚀刻阶梯覆盖率。如进一步在下文中说明的图10所述,可将非保形蚀刻过程加入图8的顺序中。埋入式字线、一体柱状储存器、VNAND以及其他3D装置是其中传统W填充过程可能为不足而本文所述的方法能够获得期望钨轮廓的应用。另外,通过调整工艺条件而修改蚀刻阶梯覆盖率的能力对于在不同轮廓内获得良好填充是有用的。在以自由基为基础的蚀刻中,低温蚀刻体系使再结合物质(例如F2物质)的作用降至最低,并且以流量控制可使自由基(例如F自由基)能用于非保形与保形蚀刻两种情况。仅自由基的蚀刻也更具表面限制性,因为相比于再结合物质,自由基不太可能扩散通过并打开小接缝、或穿透针孔。这可以使一系列新方法能用于蚀刻:例如不依靠部分填充,可封闭接缝,以及可深蚀刻覆盖层。在另一实例中,可对埋入式字线(bWL)中的钨顶层进行蚀刻而不重新打开接缝。这些方法可对各种操作提供在不同工艺条件下的不同类型的蚀刻功能。
虽然在上文中在以自由基为基础的蚀刻的背景下描述蚀刻保形性调变,但也可以使用其他类型的蚀刻来调变蚀刻保形性。例如,温度、压力、流率以及蚀刻剂物质可以用于控制非等离子体化学蚀刻。应用在衬底的这些参数与任何偏置可以用于控制以离子为基础的蚀刻。在一个实例中,较高的功率偏置可以用于蚀刻到垂直定向特征的更内部。
在某些实施例中,非保形蚀刻可以用于在以上就图2至图8所述的方法中的各种阶段的沉积之前使钨轮廓成型。图10是一个方法的实例,在其中在特征1001的下部分1052内实现无空隙填充之后,非保形蚀刻可被用在特征1001的上部分1054内的沉积。在1010,描述了在横向与垂直蚀刻循环后的钨沉积之后的包括收缩部区域1051、位于收缩部区域1051上方的上部分1054、以及位于收缩部区域1051下方的下部分1052的特征1001。特征1001的位于收缩部区域1051下方的下部分1052为无空隙并且例如使用如图8所述的过程以钨进行填充。然而,空隙1012会因为收缩部1053的存在而存在于上部分1054内。
在某些实施例中,不进行如1010所述的特征1001的填充,而是填充可先包括在操作1020所执行的部分填充,以及上部分1054的在收缩部1053下方的区域被夹止之前终止沉积。可通过上述任何方法来完成下部分1052内的无空隙填充。在保形沉积过程中以钨来部分填充上部分1054。接着在1030执行非保形蚀刻以仅在收缩部1053以及在此收缩部1053上方进行蚀刻,以消除内凹轮廓。然后可使用保形沉积1040来完成无空隙特征填充。
因此,用于填充具有两个位于不同特征深度的收缩部的特征的一种可能顺序可以是:(1)钨成核+直到在下收缩部处夹止以及在下收缩部下方形成空隙为止的CVD主体沉积(参见例如图8的操作820);(2)用于去除下收缩部上方以及穿过下收缩部的所有钨并且重新打开空隙的保形蚀刻(参见例如图8的操作830至840);(3)钨成核+用于下收缩部下方与在下收缩部处的无空隙填充以及下收缩部上方的部分填充的CVD主体沉积(参见例如图10的操作1020);(4)用于使钨轮廓在下收缩部上方成型的下收缩部上方的非保形蚀刻(参见例如图10的操作1030);以及(5)用于完成无空隙特征填充的保形沉积(参见例如图10的操作1040)。所述的顺序是如何可以在特征填充期间的不同时刻使用蚀刻保形性调变以实现无空隙填充的实例,而根据特征轮廓可能具有其他顺序。除了蚀刻保形性调变以外,也可以在特征填充期间的不同时刻使用选择性沉积与保形沉积,以控制沉积轮廓并且实现无空隙填充。
又如上所述,在某些实施例中,特征的选择性钝化可以用于控制特征填充。例如,选择性钝化详见于美国专利申请第13/351,970号和第13/774,350号(这两份专利申请通过引用的方式并入本申请中),并且以下参考图11和图12作进一步描述。
利用硼转变的特征填充
在某些实施例中,特征填充可包括保形硼沉积,然后通过硼进行含钨前体(例如WF6)的还原以形成钨层。实例的反应为:
WF6(g)+2B(s)→W(s)+BF 3(g)
图13A示出了说明在这种填充特征的方法中的某些操作的流程图。首先,在操作1310中,将薄保形硼层1325沉积在特征1301内的氮化钛层1313上方。在操作1320中,例如通过以上所提出的反应而使薄保形硼层1325转变成钨层1327。在1330与1340重复硼沉积与转变操作以形成另一保形硼层1325,其随后转变成钨,以使钨层1327生长。可重复沉积与转变反应直到以钨1327完全填充此特征为止。使用沉积极为保形的小晶粒和更为平滑的钨的薄保形硼(或另一还原性材料)和转变成钨的多个循环,可以减少可能另外使用造成大或不均匀的晶粒生长的CVD法而形成的接缝。在某些实施例中,每一个循环可形成具有高达约10nm厚度的钨层。可能存在与从硼转变成钨相关的体积增加。
可分解或反应以形成能够使含钨前体还原而产生元素钨的层的任何含硼化合物可以用于操作1310以及后续硼沉积操作。实例包括硼烷,硼烷包括BnHn+4、BnHn+6、BnHn+8、BnHm,其中n为从1到10的整数,以及m为不同于n的整数。也可以使用其他含硼化合物,例如烷基硼烷、烷基硼、胺基硼烷(CH3)2NB(CH2)2、例如C2BnHn+2之类的碳硼烷、以及例如B2F4之类的硼烷卤化物。
在某些实施例中,层1325可以是能够使钨前体还原包括硅或含硅材料、磷或含磷材料、锗或含锗材料和氢的任何材料。可用于形成这种层的示例的前体包括SiH4、Si2H6、PH3、SiH2Cl2、以及GeH4。以下参考图18来说明在钨特征填充中使用硼转变的另一实例。
参考图13A所述的方法不同于使用二硼烷或其他还原剂的常规ALD过程。这是因为所沉积的保形硼(或其他还原剂层)与形成的钨层明显厚于在常规ALD法中所沉积的。例如,每一硼层1325的示例的厚度可从约1.5nm分布至10nm,或在某些实施例中为3nm到10nm,或5nm到10nm。
可通过能够在各种工艺条件下转变成钨的最大厚度来决定厚度的上限。对于在300℃到400℃以及40托下使用WF6的转变,发现约10nm的限度。最大厚度可根据温度、压力、固体还原剂、以及钨前体的变化而变化。例如,使用较高的压力和/或温度可使上至100nm的还原剂层转变。在某些实施例中,每一个硼(或其他还原剂)层的厚度可介于约5nm到50nm或10nm到50nm之间。
在某些实施例中,在转变成钨时所发生的体积膨胀特别有助于填充。例如,从还原剂转变成钨的每一个层可比还原剂层增加约5%的厚度。
在某些实施例中,可使用硼作为蚀刻中止层而执行钨填充。在某些实施例中,成为钨的转变可被限制在约10nm,这可以允许部分成为钨的转变,然后进行相对于硼,对钨具有选择性的蚀刻,以修改特征填充。图13B说明在将硼层部分转变成钨并且使用作为蚀刻中止层的方法的实例中的操作的流程图。此方法起始于在特征内进行保形硼沉积(1352)。根据各种实施例,保形硼沉积可在特征内的初始钨沉积之前或之后发生。在各种实施例中,例如,使硼形成在屏障或衬垫层表面、钨表面、或这些表面的组合上。方框1352可包括使特征暴露于含硼化合物。在某些实施例中,含硼化合物经历热分解而在特征表面上形成元素硼(B)或含硼层。此硼层也可以通过适当的化学反应来沉积。上文中提出了示例的含硼化合物。
若使用热分解来沉积硼(或其他保形材料),那么方框1352中的温度高于分解点。例如,对于B2H6而言,此温度大于250℃。作为实例,已成功地在300℃、375℃、及395℃与40托下,以450sccm的流量将B2H6用于保形硼沉积,然而也可以使用不同于此的流率、温度、以及压强。方框1352可包括使含硼化合物持续流动或脉冲式输送含硼化合物直到形成期望的硼厚度为止。
接着,使所沉积的硼部分转变成钨而留下一部分的硼膜剩余物(1304)。方框1304通常包括使硼层暴露于含钨前体蒸气,其将与该前体蒸气反应而留下元素钨。上文中提出了六氟化钨气体与固体硼之间的反应的实例。温度将使得自发反应发生。举例来说,已成功地在例如40托、300℃及395℃下、以400sccm的流率将六氟化钨用于成为钨的转变。被转变的硼的量可取决于流率、压强、温度以及含钨前体的流动持续时间。然而,成为钨的转变可被限制在约10nm。因此,在某些实施例中,若在方框1352中形成大于10nm的硼,则仅大约高达最多10nm的硼被转变成钨而留下硼-钨双层。
接着,相对于硼而对钨进行选择性蚀刻(1306)。在某些实施例中,硼作为蚀刻中止层。以此方式,可修改特征填充。例如,硼层可类似用于图3A、图3B、图8以及图10所示的作为特征填充中的蚀刻中止层的底层。不像这些底层,在某些实施例中,剩余的硼可在蚀刻过程之后被转变成钨(1308)。以此方式,更多的特征会被较低电阻系数的材料占据。在某些实施例中,在方框1302中使硼形成不大于约20nm的厚度,以使其可在两个转变操作中完全被转变成钨,每一个转变操作将上至约10nm厚的硼层转变成钨。同样地,在某些其他实施例中,可使硼形成不大于n×10nm的厚度,其中n为待执行的蚀刻操作的次数。以下参考图19来说明如参考图13B所述的过程的实例。
控制蚀刻选择率以使用硼来作为蚀刻中止层可包括调整温度、流率以及例如上述关于W:Ti与W:TiN的蚀刻选择率的其他参数。在一个实例中,硼作为使用25℃以F为基础的远程等离子体蚀刻的蚀刻中止层,此蚀刻使用NF3→NFx+F*化学过程。在此温度下,W选择性蚀刻得比B更快,这可能与B+3F*→BF3vs.W+6F*→WF6反应的热力学有关。可以调变其他类型的蚀刻与蚀刻化学性质。
替代硼或除硼以外,图13B所述的方法也可以与其他固体层一起使用。举例而言,如以上就图13A所述,硅或含硅材料、磷或含磷材料、锗或含锗材料可被沉积并且经由与含钨前体的反应而被部分转变成钨。应注意到虽然对于使用特定工艺条件的WF6已观察到约10nm的转变限度,但对于其他含钨化合物和/或其他还原剂则可根据实验或理论来决定转变限度。因此,可调整本文所述的方法,以在还原剂部分地转变成钨之前沉积更多或更少的还原剂。
利用无氟钨(FFW)以及无氟的氮化钨(FFWN)的特征填充
图13C与13D示出了在特征填充中使用无氟层的实例中的某些操作的流程图。钨与钨前体中的氟(F)可能会在进一步集成操作期间反应而形成高度反应性的氢氟酸(HF)。例如,HF可侵蚀氧化物堆栈中的氧化物,或者以其他方式负面地影响集成。
图13C示出了在其中无氟的氮化钨层可被沉积在特征内然后转变成无氟的钨层的一个实例。首先,在特征内沉积无氟的氮化钨层(1352)。在某些实施例中,通过热ALD或PNL工艺来沉积此氮化钨层,在其中(以各种顺序)给还原剂、含钨前体、以及含氮反应物施加脉冲,以在特征上形成保形氮化钨层。用于沉积氮化钨膜的ALD与PNL工艺的实例详见于在美国专利申请第7,005,372号以及美国临时专利申请第61/676,123号中,两者都通过引用的方式并入本文中。
为了沉积无氟层,通常所有的反应物都是无氟。在某些实施例中,此含氮化合物作为还原剂,如此则可以使用或不使用另外的还原剂。在某些实施例中,含钨前体也可以包括氮,如此则可以使用或不使用另外的含氮化合物。
可使用的无氟钨前体的实例包括W(CO)6与有机钨前体,例如W2(NMe2)6、W(OEt)6、W(OnPr)6、(tBuN=)2W(NMe2)2、(tBuN=)2W(NEtMe)2、W(Cp)2H2、W(NEt2)2(NEt)2、W(iPrCp)2H2、(tBuN=)2W(HNMe)2、W(EtCp)2H2及其衍生物。进一步的实例则包括乙基环戊二烯基-二羰基亚硝酰基-钨(EDNOW)、甲基环戊二烯基-二羰基亚硝酰基-钨(MDNOW)、以及(乙基环戊二烯基)三羰基氢化钨(ETHW),可得自Praxair,以及包括具有下列结构的双(烷基亚氨基)双(烷基氨基)钨(化合物:
其中每一个R可独立选自于甲基、乙基、丙基、丁基和叔丁基。这些基团可以经过取代或未经取代,然而其一般未经取代。例如,此含钨前体为双(叔-丁基亚氨基)双(二甲基氨基)钨(W[N(C4H9)]2[N(CH3)2]2)。
还原剂的实例包括硼烷、硅烷、H2、NH3、N2H4、N2H6、及其组合。含氮化合物的实例包括N2、NH3、N2H4以及N2H6。在某些实施例中,所沉积的膜为具有相对少量的碳的WN膜,例如少于约5%的原子百分比或少于约2%的原子百分比的碳。在某些实施例中,替代或除了ALD或PNL法以外,可以在方框1352中使用用于沉积氮化钨的CVD法。在一个实例中,在方框1352中,使用有机钨前体或W(CO)6的热ALD可以用于沉积WN层而不夹止特征。
在各种实施例中,FFWN膜中刚沉积的W含量在约20%的原子百分比至80%的原子百分比的范围内,N含量在约10%的原子百分比至60%的原子百分比的范围内。碳的一些含量以上述方式存在。此外,如以下参照钨膜所述,根据使用的特定前体和过程,可以存在其他元素,包括氧、硼、磷、硫、硅、镓等。例如,以上引用的美国临时专利申请No.61/676123讨论了沉积三元WBN膜。
返回图13C,然后使无氟的氮化钨转变成无氟钨(1354)。此通常是通过下列方式加以完成:在至少约600℃的温度下对氮化钨进行热退火经过例如介于约5秒与120秒之间的一段时间,以使氮化钨膜中的氮以氮气(N2)形式离开。在某些实施例中,执行方框1352而不使特征封闭,以提供所挥发的N2气体的流动路径。例如,在夹止点或收缩部留下至少约1nm到2nm的开口可允许此退火将实质上所有的氮化钨转变成钨。
可选地,一旦氮化钨膜被转变成钨之后,可沉积另外的无氟钨或氮化钨膜,以封闭任何收缩部和/或完成特征填充(1356)。以上就方框1352来描述无氟的氮化钨膜的沉积。可使用热ALD或PNL工艺来执行无氟钨膜的沉积,在其中给还原剂与无氟含钨前体施加脉冲,以在特征上形成保形钨层。根据各种实施例,在使用无氟前体以及还原剂时,本文所述包括由里朝外填充、凹槽蚀刻、蚀刻保形性调变、以及硼转变的一种或多种其他技术可以用于在某些实施例中完成无空隙特征填充。在某些其他实施例中,可以用无氟WN或W层来敷盖特征,并同时在特征内留下空隙。此敷盖层可以用于封闭在方框1352中所留下的气体流动路径。若在方框1356中沉积无氟的氮化钨层,则此后可以进行或不进行热退火以使其转变成元素钨。例如,在一些实施例中,其可以不特别有利于使薄WN层(例如)转变成W。以下参考图22来说明根据图13C的过程的实例。
图13C说明其中无氟含钨层可以用于将使用含氟气体所沉积的钨层密封的方法的实例中的某些操作的流程图。首先,以使用含氟化合物所沉积的钨层来部分填充特征(1362)。方框1362可包括沉积钨成核层,然后使用例如六氟化钨之类的前体来沉积主体钨层。执行部分填充,使特征开口保持打开。在方框1364中,此允许HF气体从特征被抽出,以及在某些实施例中,在方框1368中,则可允许在此层上沉积无氟层。
接着,将作为反应副产物产生的或以其他方式存在于特征内的任何HF(或其他含氟气体)抽出(1364)。在某些实施例中,一些氟可能会存在于剩余的钨膜中。此钨膜可使用无HF过程加以密封,例如沉积如上所述的无氟钨或氮化钨层或使用协同无氟钨前体的硼转变。根据各种实施例,可以用无氟膜来密封任何收缩部和/或可以用无氟膜来覆盖在方框1362中所沉积的钨膜的任何暴露表面。这可以防止存在于此膜中的任何氟在集成期间形成氢氟酸。就图13D所述的方法对于在特征内利用以氟为基础的过程来有效沉积大部分钨可以是有用的,并同时可防止任何剩余的氟影响后续的集成。
根据各种实施例,在方框1368中所沉积的膜可封闭任何收缩部和/或完成特征填充。根据各种实施例,当使用无氟前体以及还原剂时,在此所述包括由里朝外填充、凹槽蚀刻、蚀刻保形性调变以及硼转变的一种或多种其他技术可在某些实施例中用于完成无空隙特征填充。
虽然以上参考图13C和图13D所述的方法涉及无氟钨与氮化钨,但它们可以被概括为无卤素钨和氮化钨膜。同样地,在方框1362中所沉积的钨可使用例如WCl6之类的含卤素前体来加以沉积。
特征填充实例
现在将就VNAND字线(WL)填充的背景来说明本发明的方面。尽管以下说明提供各种方法的架构,但这些方法不受限于这些并且也可以在逻辑和内存接点填充、DRAM埋入式字线填充、垂直集成内存栅极/字线填充、以及具有硅通孔(TSVs)的3-D集成的其他应用中实现。以下所述的过程可用于包括一个或多个收缩部的任何水平或垂直定向结构,包括钨通孔与沟槽填充。
上述图1F提供待填充的VNAND字线结构的实例。如上所述,这些结构的特征填充可能会提出几个挑战,其包括因放置支柱所引起的收缩部。此外,高特征密度可能会造成负载效应,以致使反应物在完成填充之前即被耗尽。以下说明关于在整个WL间的无空隙填充的各种方法。在某些实施例中,沉积低电阻系数钨。另外,在某些实施例中,此膜具有低F含量,而不具有HF围困的情况。在某些实施例中,可不完全填充特征,而在填充到达特征开口之前终止特征填充。图17中在1740示出了实例。
图14示出了在特征内保形沉积操作与具有高W:TiN蚀刻选择率的蚀刻交替进行的顺序。特征1401包括收缩部1451、以及可从两末端1455进入的内部区域1452。如以上就图1G所述,图14可以看成是3-D结构的2-D视图,该视图示出了待填充的区域的横截面图,并且收缩部1451表示出自可在平面图中而非在横截面图中观看到的支柱的收缩部。以上图1E和图1F提供可如何排列支柱的额外说明。此特征包括底层1413,在图14的实例中它是TiN层,然而它可以是任何底层。此顺序起始于1410,进行钨保形沉积以填充此特征,而在此特征的内部中留下空隙1412。
举例来说,如以上参考图2、图7或图8所述,接着在1420执行相对于底层TiN,对W具有选择性的蚀刻,以在特征内留下钨1403。剩余钨1403在特征内部1452中提供较接近位于收缩部1451处的尺寸的特征尺寸。这使得能减少或消除后续保形沉积中的空隙形成。例如,在1430,在另一保形沉积中,形成两个空隙1414,这些空隙比在1410所形成的空隙1412更小并且更接近特征末端1455。在1440所执行的选择性蚀刻可打开空隙1414,以使特征轮廓重新成型,这样在在特征内没有内凹腔。在1450的最终保形沉积可提供字线的无空隙填充。应注意到在所述的实例中,沉积操作为保形,并且可包括特征内的保形成核层的沉积。在蚀刻之后所留下的残留W的精确轮廓可依照特定的实施例而变化。
举例而言,图15示出了类似于图14的顺序,但它是利用选择性而非保形的沉积,以提供如以上参考图3A至图4B所述的由里朝外填充。此过程起始于1510,进行例如PNL成核层加上CVD操作之类的保形沉积,以夹止此特征。接着,在1520执行对W具有选择性的蚀刻以打开收缩部,而留下蚀刻过的钨层1503。然后执行钨的选择性沉积(一般不具有新的保形成核层),以实现由里朝外填充并且通过收缩部。用于填充特征的内部区域1555然后通过收缩部1551的CVD操作的进行分别示出在1530与1540。在所述的实例中,在1550,保形沉积可以用于完成填充。保形沉积可包括在特征的未填充末端部分内沉积钨成核层,然后进行主体沉积。在某些实施例中,图15中的初始沉积与蚀刻操作1510与1520可以获得类似于图14的操作1410和1420的轮廓的轮廓(反之亦然)。另外,在某些实施例中,在1450和/或1550用于完成填充的沉积可包括如上所述的选择性去除操作和/或钝化操作中的一个或多个。
图16示出了图15所示的由里朝外生长过程的变形例。类似于图15中的操作1510,此过程起始于1610,进行例如PNL成核层加上CVD操作之类的保形沉积,以夹止此特征。接着,在1620执行对W具有选择性的蚀刻以打开收缩部,而留下蚀刻过的钨层1603。然后在1630执行对钨的选择性沉积(一般不具有新的保形成核层),以实现由里朝外填充并且通过收缩部。蚀刻过的钨层1603的轮廓不同于在图15中的1520所形成的轮廓,这可能会影响选择性沉积的进行。然而,在两个实例中,蚀刻过的钨层作为后续CVD的晶种层并且促进由里朝外填充。在1640,保形沉积可以用于完成填充。这可以包括如以上在某些实施例中所述的选择性去除操作和/或钝化操作中的一个或多个。
图17示出了使用选择性与非选择性W/TiN蚀刻的顺序。首先在1710保形地在特征内沉积膜而留下空隙1712。在1720,初始选择性蚀刻可以用于蚀刻到夹止点内,然后在1730对W和TiN进行不具有选择性的蚀刻。在1740,剩余的膜可被使用作为W选择性沉积的晶种层。
图18示出了在其中硼层被转变成钨的顺序。此方法可起始于1810,例如使用二硼烷或其他含硼前体,使硼吸附到衬底上。厚度可接近于夹止点,例如10nm厚。如上所述,在某些实施例中,10nm可能近于用于在合理时间量内进行钨转变的限度。在1820,然后可通过硼层来还原六氟化钨或其他含钨前体,以形成元素钨。可存在与此转变有关的厚度膨胀(3.6%,基于原子体积)。根据各种实施例,此转变可以封锁或不封锁住收缩部下方的特征。在某些实施例中,在此转变期间不使用氢,而不使HF被困在特征内。在经硼转变成的钨内的F浓度低。根据各种实施例,在不形成钨成核层的情况下,可以钨来填充特征。另外,例如,在某些实施例中,如图13A所示,可重复硼沉积与转变操作。另外,在某些实施例中,钨转变之后可进行一个或多个保形或选择性钨沉积操作、或沉积-蚀刻-沉积操作,以完成特征填充。
图19示出了包括硼转变成为钨的另一顺序。在所示的实例中,将硼交替地使用作为用于蚀刻钨的蚀刻中止层和转变成钨。可蚀刻钨而留下用于由里朝外填充的起始层。一个或多个保形或选择性沉积或其组合可以用于完成此填充。由于此转变可自我限制在大约10nm,所以在特征更深处的硼会处于未转变并且可被使用作为蚀刻中止层。在图19的实例中,此过程起始于1910,在特征内进行初始硼层1925的保形沉积以封闭夹止点,从而留下空隙1912。在上文中描述了可以用于沉积硼的化合物的实例。在1920,然后使部分的硼层1925转变成钨层1927a。如上所述,在某些实施例中,使高达某限度(例如10nm)的硼转变。此部分转变会留下残留硼层1925a。在1930,接着选择性去除钨层1927a,以留下硼层1925a。在1940,然后使硼层1925a部分转变成钨,以形成钨层1927b以及残留硼层1925b。在此实例中,硼正好贯穿夹止点被转变,以使特征可在后续W-选择性蚀刻中打开。在某些其他实施例中,由于转变的自我限制本质,所以可执行一个或多个额外部分转变/选择性蚀刻,以打开特征。选择性去除钨层1927b以及在后续操作中使剩余硼层1925b转变成钨层1927c。钨层1927c随后可以是用于填充特征(例如,如图16中的特征)的保形沉积或用于填充特征(例如,如图14中的特征)的选择性沉积的基础。
图20示出了在美国专利申请第13/774,350号(其通过引用的方式并入本申请中)中所描述的顺序,其中在夹止之前,在特征内部中使用非保形选择性抑制来进行填充。所述的选择性抑制技术可与本文所述的技术中的一个或多个一起使用。在图20中,在2010,将钨成核层2004保形地沉积在底层2013上。可使用如上所述的PNL工艺。注意到在某些实施例中,可省略用于沉积保形成核层的操作。接着,在2020,使特征暴露于抑制化学品以选择性地抑制部分2006。在此实例中,选择性地抑制位于支柱收缩部2051之间的部分2006。举例而言,抑制可包括对由例如N2、H2、形成气体、NH3、O2、CH4等气体所产生的直接(原位)等离子体的暴露。以下进一步说明使特征暴露于抑制物质的其他方法。接着,在2030,执行CVD工艺以根据抑制轮廓来选择性地沉积钨:优先将主体钨2008沉积在成核层2004的非抑制部分上,以填充在收缩部后方的难填充区域。在2040,然后以主体钨2009来填充特征的其余部分。用于选择性沉积钨的相同CVD工艺可用于特征的其余部分,或者可以使用采用不同化学品或工艺条件并且/或者可以使用在沉积成核层之后所执行的不同CVD工艺。
根据各种实施例,以上任何实例可根据各种实施例而使用保形或非保形蚀刻来修改特征填充。图21示出了使用非保形蚀刻的特征填充的实例。在图21的实例中,在2110,可在特征内使用PNL成核加上CVD W来沉积薄钨保形层2102。在2120,在此之后进行具有高选择率的非保形蚀刻,以保护底层2113。例如,对于TiN底层,可执行如参考图9B所述的具有高W:TiN选择率的非保形蚀刻。这留下在特征的内部2153的钨层2102,并且去除特征末端2155附近的钨层。在2130,在进行另一薄钨层2103的CVD W沉积之后,进行另一非保形、W-选择性蚀刻。在2140,可重复这些沉积-蚀刻-沉积操作以填充特征。根据各种实施例,每一后续沉积操作可以包括或不包括用于保形或选择性沉积的成核层的沉积。在某些实施例中,例如在高电源功率下的成核延迟(钝化)可在CVD W期间被使用,以抑制在开口附近的生长。
在某些实施例中,无氟钨与氮化钨膜可以用于减少在钨特征填充中的以氟为基础的处理和含氟副产物。图22示出了在其中热ALD无氟的氮化钨(FFWN)膜可在填充特征时被转变成无氟钨(FFW)的顺序的实例。此顺序起始于2210,如以上参考图13C与13D所述,通过热ALD或PNL来进行FFWN层的沉积。沉积FFWN层,而不完全夹止此结构的内部,以允许氮气逸出。然后在2220,在热退火期间使此FFWN转变成FFW,以及使N2气体离开。在所述的实例中,然后沉积FFWN或FFW敷盖层2208以封闭特征内部2253。根据敷盖层2208的厚度,可执行热退火以使FFWN敷盖层2208转变成FFW。在某些实施例中,敷盖层2208可以足够薄,以在填充钨的特征中存在可忽略的氮量并且不执行退火。应注意到在某些实施例中,敷盖层2208可在特征内沉积该层之前封闭内部2253。不像以下参考图23所述的顺序,从氟管理观点来看,这是可以接受的,因为在此过程中不使用氟。虽然图22所示的顺序留下空隙2212,但在替代实施例中,可使用上述用于减少或消除空隙的一种或多种技术。
图23示出了在其中热ALD无氟的氮化钨(FFWN)或无氟钨(FFW)膜可被用于钨特征填充以密封使用氟所沉积的层的顺序的实例。此顺序起始于2310,使用含氟(或其他卤素)化合物来进行钨层2302的保形沉积。保形沉积可包括例如钨成核层的沉积,然后进行使用六氟化钨或六氯化钨的主体沉积。在某些实施例中,在夹止之前终止沉积,使在夹止点2351的接近的侧壁之间维持至少5nm至10nm。接着,在2320,执行抽气操作以去除所有含氟副产物,例如HF。然后沉积FFWN或FFW层2308以覆盖钨层2302。在某些实施例中,这包括在特征的内部2353之中沉积层2308以协助防止存在于钨层2302中的任何氟释出。虽然图23所示的顺序留下空隙2312,但在替代实施例中,可使用上述用于减少或消除空隙的一种或多种技术。
根据各种实施例,在上述过程顺序中所述的蚀刻依照期望的蚀刻轮廓可以是如以上就图9A与9B所述的保形、轻度非保形或高度非保形的。例如,用于打开夹止特征的蚀刻可使用产生保形蚀刻的工艺条件。
成核层沉积
在某些实施例中,本文所述的方法包括在主体层沉积之前的钨成核层沉积。成核层一般为薄保形层,其促进在其上的主体含钨材料的后续沉积。根据各种实施例,可在特征的任何填充之前和/或在特征的填充期间的后续时点上沉积成核层。例如,在某些实施例中,可在蚀刻特征内的钨之后沉积成核层。
在某些实施例中,使用脉冲成核层(PNL)技术来沉积成核层。在PNL技术中,相继将还原剂、可选的清除气体、以及含钨前体的脉冲注入并且清出反应腔室。以循环方式重复此过程直到获得期望的厚度为止。PNL概括地体现为相继添加反应物以用于在半导体衬底上反应的任何循环过程,其包括原子层沉积(ALD)技术。沉积钨成核层的PNL技术详见于美国专利申请第6,635,965、7,005,372、7,141,494、7,589,017、7,772,114、7,955,972以及8,058,170号、以及美国专利公开第2010-0267235号,这些专利申请的全部内容通过引用的方式并入本文中。成核层厚度可取决于成核层的沉积方法以及主体沉积的期望质量。一般来说,成核层厚度足以维持高质量、均匀的主体沉积。实例可以在的范围内。
虽然在上文中提供PNL沉积的实例,但本文所述的方法并不限于钨成核层沉积的特定方法,而是包括在通过包括PNL、ALD、CVD、以及物理气相沉积(PVD)在内的任何方法所形成之钨成核层上的主体钨膜的沉积。此外,在某些实施例中,可在不使用成核层的情况下,直接在特征内沉积主体钨。例如,在某些实施例中,特征表面和/或已沉积的底层维持主体钨沉积。在某些实施例中,可执行不使用成核层的主体钨沉积过程。例如,于2012年7月27日提交的美国专利申请第13/560,688号描述了不具有成核层的主体钨层的沉积,该专利申请通过引用的方式并入本文中。
在各种实施例中,钨成核层沉积可包括对例如六氟化钨(WF6)、六氯化钨(WCl6)、以及六羰钨(W(CO)6)等含钨前体的暴露。在某些实施例中,此含钨前体为含卤素化合物,例如WF6。也可以使用例如甲基环戊二烯基-二羰基亚硝酰基-钨(MDNOW)以及乙基环戊二烯基-二羰基亚硝酰基-钨(EDNOW)等无氟的前体以及有机金属前体。
还原剂的实例可包括含硼还原剂(包括二硼烷(B2H6)以及其他硼烷类)、含硅还原剂(包括硅烷(SiH4)以及其他硅烷类)、联氨类、以及锗烷类。在某些实施例中,含钨前体的脉冲可与一种或多种还原剂的脉冲交替,例如S/W/S/W/B/W等等,W表示含钨前体,S表示含硅前体,并且B表示含硼前体。在某些实施例中,可不使用另外的还原剂,例如含钨前体可经历热或等离子体辅助分解。
根据各种实施例,可以使氢在背景中流动或不流动。另外,在某些实施例中,在钨成核层的沉积之后并且在主体钨沉积之前可进行一个或多个处理操作。例如,处理所沉积的钨成核层以降低电阻系数详见于美国专利申请第7,772,114和8,058,170号以及美国专利公开第2010-0267235号中,其通过引用的方式并入本申请中。
主体沉积
在许多实施例中,主体钨沉积可通过CVD工艺发生,其中使还原剂以及含钨前体流入到沉积腔室内,以在特征内沉积主体填充层。可使用惰性载气来输送其中一个或多个反应物流,此反应物流可以经过或不经过预先混合。不像PNL或ALD过程,此操作通常包括使反应物持续流动直到沉积期望的量为止。在某些实施例中,此CVD操作可在多个阶段中进行,该多个阶段具有通过所转向的一个或多个反应物流动的周期所隔开的连续与同时反应物流动的多个周期。
包括但不限于WF6、WCl6、以及W(CO)6的各种含钨气体可被使用作为含钨前体。在某些实施例中,含钨前体为含卤素化合物,例如WF6。在某些实施例中,还原剂为氢气,但可使用其他还原剂,其包括硅烷(SiH4)、二硅烷(Si2H6)、联氨(N2H4)、二硼烷(B2H6)以及锗烷(GeH4)。在许多实施例中,氢气用作CVD工艺中的还原剂。在某些其他实施例中,能够使用可分解形成主体钨层的钨前体。也可以使用包括ALD工艺的其他类型工艺来进行主体沉积。
温度的实例的范围可从200℃至500℃。根据各种实施例,本文所述的任何CVD W操作可使用例如在约250℃-350℃或约300℃下的低温CVD W填充。
沉积可根据各种实施例进行直到获得特定的特征轮廓和/或沉积特定量的钨为止。在某些实施例中,沉积时间与其他相关参数可通过模拟和/或试误法来决定。例如,对于其中钨可被保形地沉积在特征内直到夹止为止的由里朝外填充过程的初始沉积而言,可基于特征尺寸来简单决定将达到夹止的钨厚度以及对应的沉积时间。在某些实施例中,处理腔室可装设有各种传感器以执行沉积操作的终点侦测的原位计量量测。原位计量的实例包括用于判定所沉积的膜的厚度的光学显微术以及X-射线荧光法(XRF)。
应当理解,根据使用的特定前体和工艺,本文所述的钨膜可以包括一些量的其他化合物、掺杂物和/或杂质,例如,氮、碳、氧、硼、磷、硫、硅、镓等。此膜中的钨含量可以在20%的原子百分比的钨至100%的原子百分比的钨之间的范围内。在许多实施例中,此膜是富钨的,具有至少50%的原子百分比的钨,或者甚至至少60%的原子百分比、75%的原子百分比、90%的原子百分比或99%的原子百分比的钨。在一些实施例中,此膜可以是金属或元素钨(W)和其他含钨化合物(例如,碳化钨(WC)、氮化钨(WN)等)的混合物。
这些材料的CVD和ALD沉积可以包括使用任何合适的前体。例如,氮化钨的CVD和ALD沉积可以包括使用含卤素化合物和无卤素含钨化合物和含氮化合物,以下作进一步描述。含钛层的CVD和ALD沉积可以包括使用含钛前体,实例包括四(二甲基氨基)钛(TDMAT)和氯化钛(TiCl4),并且如果合适,可包括一种或多种共反应物。含钽层的CVD和ALD沉积可以包括使用例如五聚二甲基氨基钽(PDMAT)和TaF5,并且如果合适,可包括一种或多种共反应物。含钴层的CVD和ALD沉积可以包括使用例如三(2,2,6,6-四甲基-3,5庚二酮)钴、双(环戊二烯基)钴和二钴六羰基丁基乙炔以及一种或多种共反应物。含镍层的CVD和ALD沉积可以包括使用例如环戊二烯镍(CpAllylNi)和MeCp2Ni的前体。共反应物的实例可以包括N2、NH3、N2H4、N2H6、SiH4、Si3H6、B2H6、H2和AlCl3
钨蚀刻
可通过使钨暴露于可与钨反应的一种或多种蚀刻剂物质而执行蚀刻钨。蚀刻剂物质的实例包括卤素物质以及含卤素物质。可用于去除含钨材料的初始蚀刻剂材料的实例包括三氟化氮(NF3)、四氟甲烷(CF4)、四氟乙烯(C2F4)、六氟乙烷(C2F6)、八氟丙烷(C3F8)、三氟甲烷(CHF3)、三氟氯甲烷(CF3Cl)、六氟化硫(SF6)、以及分子氟(F2)。在某些实施例中,这些物质可被活化并且包括自由基和/或离子。例如,可使初始蚀刻剂材料流过远程等离子体产生器和/或经受原位等离子体作用。在某些实施例中,可使钨暴露于非等离子体蚀刻剂蒸气。
除以上给出的实例之外,任何公知的蚀刻剂化学物也可以用于蚀刻非含钨膜以及含钨膜。例如,含氟化合物,比如,NF3,可以用作含钛化合物,比如,TiN和TiC。在一些实施例中,例如可以使用含氯化合物,例如,Cl2和BCl3,来蚀刻TiAl、TiAlN、含镍化合物和含钴化合物。另外,尽管以下蚀刻主要指的是等离子体和/或非等离子体气相蚀刻,但是在一些实施例中,所述方法还可以用湿法蚀刻技术来实施。
在某些实施例中,可使用远程产生的等离子体。此初始蚀刻剂材料以及在某些实施例中的惰性气体(例如氩、氦以及其他),可供应到任何适当的远程等离子体产生器。举例而言,可使用下列远程等离子体单元,例如 i Type AX7670、 eType AX7680、 ex Type AX7685、 hf-s Type AX7645,这些全部可得自MKS Instruments(Andover,Massachusetts)。远程等离子体单元一般是使用所供应的蚀刻剂来产生弱离子化等离子体的自给式装置。在某些实施例中,高功率无线射频(RF)产生器将能量提供给等离子体中的电子。此能量随后被传递给中性蚀刻剂分子而引起约2000K的温度,导致这些分子产生热解离。远程等离子体单元可因为其高RF能量与特殊通道几何形状使蚀刻剂吸附大部分的能量,从而使60%以上的引入蚀刻剂分子解离。
在某些实施例中,来自远程等离子体单元而被输送至其中执行蚀刻的腔室的活化物质为自由基并且实质上不包括离子物质。本领域的普通技术人员将理解可以存在若干少量的离子物质,其并未对蚀刻产生作用。它们的含量可小到无法被侦测到。在某些实施例中,除了自由基物质以外,来自远程等离子体单元而被输送至腔室的活化物质还可包括大量的离子物质。
在某些实施例中,替代或除了远程产生的等离子体以外,蚀刻操作可使用在容纳衬底的腔室中所原位产生的等离子体,以使钨暴露于直接等离子体。在某些实施例中,无线射频(RF)等离子体产生器可以用于在腔室中的两个电极之间产生等离子体。电极的实例例如包括喷淋头以及基座。在一个实例中,可使用能够在介于约1MHz与100MHz之间的频率下在约0W与10,000W之间进行供给的高频率(HF)产生器。在更具体的实施例中,此HF产生器可在约13.56MHz下在约0W到5,000W之间进行输送。在某些实施例中,可使用能够在介于约100kHz与2MHz之间、或介于约100kHz与1MHz之间(例如400kHz)的频率下在约0与10,000W之间进行供给的低频率(LF)产生器。
此等离子体产生器可以是电容耦合等离子体(CCP)产生器、感应耦合等离子体(ICP)产生器、变压器耦合等离子体(TCP)产生器、电子回旋共振(ECR)产生器、或螺旋波等离子体产生器。除了RF源以外,可使用微波源。
根据各种实施例,部分或所有的蚀刻操作可在于其中执行包括沉积和/或处理操作的其他操作的同一腔室中执行,或者在专用的蚀刻腔室中执行。若使用专用的蚀刻腔室,则其可连接至一个或多个其他处理腔室的相同真空环境,或者为另外的真空环境的部分。举例来说,例如可得自Lam Research Corporation的导体蚀刻模块之类的TCP蚀刻模块可用在某些实施例中。可与这种模块一起使用的实例的蚀刻剂包括NF3、CF4、SF6、CH3F、CH2F2、以及CF4。示例的操作压强可从30毫托分布到100毫托。示例的温度的范围可从30℃到120℃。
在各种实施例中,执行蚀刻直到去除所沉积的钨的某一特征或者获得某一轮廓为止。例如,就上述由里朝外填充技术来说,蚀刻可进行直到去除夹止钨为止、或直到去除接缝为止。在某些实施例中,对于特定蚀刻过程参数的蚀刻终点可通过对特定特征几何形状与轮廓以及被蚀刻的沉积钨的量进行模拟和/或试误法来决定。在某些实施例中,处理腔室可装设有各种传感器以执行原位计量量测而确认去除的范围。原位计量的实例包括用于判定膜厚度的光学显微术以及XRF。另外,红外线(IR)光谱可以用于检测在蚀刻期间所产生的钨氟化物(WFx)或其他副产物的量。在某些实施例中,底层可被使用作为蚀刻中止层。光学放射光谱(OES)也可以用来监视蚀刻。根据各种实施例,钨的蚀刻相对于底层可以是较为优先或较为不优先(或非优先)的。举例来说,蚀刻可以W为优先,而例如Ti或TiN底层则作为蚀刻中止层。在某些实施例中,可对W与Ti或TiN进行蚀刻,而底层介电质则作为蚀刻中止层。在上文中说明了用于调整相对于底层的蚀刻优先性的方法。
另外,根据各种实施例,可调变蚀刻操作的保形性。保形蚀刻是在整个特征将材料均匀去除的蚀刻。在上文中说明了调变蚀刻保形性的方法。在某些实施例中,调变蚀刻保形性可包括在或不在质量传送限制体系中进行操作。在这种体系中,在特征内部的去除速率受到扩散至特征内的不同蚀刻材料成分(例如初始蚀刻剂材料、活化蚀刻剂物质、以及再结合蚀刻剂物质)的量和/或其相关组分所限制。在某些实例中,蚀刻率取决于在特征内部的不同位置的各种蚀刻剂的浓度。应注意到“蚀刻”与“去除”等用语在本说明书中被可互换地使用。
如美国专利申请第13/016,656号(其通过引用的方式并入本文中)所述,在某种程度上,质量传送限制条件可通过整体蚀刻剂浓度变化来表征。在某些实施例中,特征内部的浓度小于其开口附近的浓度,造成开口附近的蚀刻率高于内部的蚀刻率。这接着造成选择性去除。质量传送限制工艺条件可通过(例如使用相应于空穴轮廓与尺寸的低蚀刻剂流率)将限量的蚀刻剂供应到处理腔室内来获得,并同时维持相对高的蚀刻率,以在蚀刻剂扩散到特征内时消耗掉部分的蚀刻剂。在某些实施例中,浓度梯度是显著的,这可引起相对高的蚀刻动力学以及相对低的蚀刻剂供应。在某些实施例中,开口附近的蚀刻率也可以是受质量限制的,但此条件并非为获得选择性去除所需。
除了高深宽比特征内部的整体蚀刻剂浓度变化以外,蚀刻保形性可受到遍布特征的不同蚀刻剂成分的相对浓度的影响。这些相对浓度接着会依蚀刻物质的解离与再结合过程的相对动力学而定。初始蚀刻剂材料一般会通过远程等离子体产生器和/或经受原位等离子体作用,以产生活化蚀刻剂物质(例如氟原子、自由基)。然而,活化物质倾向于再结合成较不具活性的再结合蚀刻物质(例如氟分子)和/或与含钨材料沿着其扩散路径反应。就其本身而言,所沉积的含钨层的不同部分可暴露于不同浓度的不同蚀刻剂材料,例如初始蚀刻剂、活化蚀刻剂物质、以及再结合蚀刻剂物质。这提供控制蚀刻保形性的额外机会。
例如,活化氟物质通常比初始蚀刻材料以及再结合蚀刻材料对含钨材料更具有反应性。此外,活化氟物质通常可比再结合氟物质对温度变化较不具敏感性。因此,在某些实施例中,可利用这种将去除主要归因于活化氟物质、主要归因于再结合物质、或包括氟与再结合物质两者的方式来控制工艺条件。此外,特定的工艺条件可产生活化氟物质,使其在特征的开口附近的浓度比在特征内部高。举例而言,部分的活化物质在扩散到特征(尤其是小的高深宽比特征)的更深处内时可被消耗(例如与所沉积的材料反应和/或吸附在此材料的表面上)和/或再结合。应注意到活化物质的再结合也发生在高深宽比特征的外部(例如处理腔室的喷头中)并且取决于腔室压力。因此,可控制腔室压力以调整位在腔室与特征的各点的活化蚀刻物质的浓度。
蚀刻剂的流率一般取决于腔室的尺寸、蚀刻率、蚀刻均匀性、以及其他参数。例如,可利用使在开口附近比在特征内部蚀刻掉较多含钨材料或者在整个特征或部分特征均匀地去除含钨材料的方式来选择流率。例如,对于每站的195升腔室的流率可介于约25sccm与10,000sccm之间,或者在更具体的实施例中,可介于约50sccm与1,000sccm之间。在某些实施例中,此流率小于约2,000sccm,小于约1,000sccm,或更具体地说小于约500sccm。应注意到这些数值针对被配置用于处理300mm晶片衬底的一个单独的站而提出。这些流率可根据衬底尺寸、此设备中的站数(例如对于四站设备为四倍)、处理腔室容积、以及其他因素而按比例放大或缩小。
可利用不仅在沉积层与各种蚀刻剂物质之间引发化学反应并且控制两者间的反应速率的方式来选择衬底的温度。例如,可选择温度以获得高去除速率而在开口附近比在特征内部去除掉较多的材料,或者获得低去除速率而从特征内去除材料。此外,也可以选择温度,以控制活化物质的再结合(例如原子氟成为分子氟的再结合)和/或控制哪种物质(例如活化或再结合物质)主要对蚀刻产生作用。可基于蚀刻剂化学成分、期望蚀刻率、活化物质的期望浓度分布、不同物质对选择性去除的期望作用、以及其他材料与工艺参数来选择衬底温度。在某些实施例中,将衬底维持在小于约300℃,或更特定为在小于约250℃,或小于约150℃,或甚至小于约100℃。在其他实施例中,将衬底加热至约300℃与450℃之间,或在更具体的实施例中,加热至约350℃与400℃之间。虽然这些温度范围针对以F为基础的蚀刻而提出,但对不同类型的蚀刻剂可使用其他的温度范围。
活化氟物质的活化能比再结合氟的活化能要小得多。因此,使衬底温度下降可产生来自活化物质的更大的去除作用。在特定温度(以及其他工艺条件,例如流率与腔室压力)下,活化物质的相对去除作用可胜过再结合物质的去除作用。
在特征内的材料分布也可以通过其阶梯覆盖率来表征。为说明目的,“阶梯覆盖率”定义为两个厚度的比值,即在特征内部的材料的厚度除以在开口附近的材料的厚度。为说明目的,“在特征内部”一词表示沿着特征轴而位于特征中点附近(例如从特征开口开始所量测的沿着特征深度的约25%与75%之间的距离(或在某些实施例中,约40%与60%之间的距离)的区域)的特征中间部分,或者位于从开口开始所量测的沿着特征轴的约75%与95%之间的距离的特征末端部分。“在特征的开口附近”或“在特征开口附近”一词表示位于开口边缘(或开口边缘的其他组件代表物)的25%内(或更具体而言,在10%内)的特征的顶部分。例如可通过使特征的中间或底部宽于特征开口的方式来填充特征而获得超过100%的阶梯覆盖率。
如上所述,可调变蚀刻保形性,以根据特征的特定构造而使蚀刻层具有目标阶梯覆盖率。在某些实施例中,蚀刻层的目标阶梯覆盖率至少约60%、75%、100%、或超保形(超过100%),例如125%。在某些实施例中,会以低于约50%、25%或更低的阶梯覆盖率为目标。
钨成核的选择性抑制
如美国专利申请第13/774,350号(其通过引用的方式并入本文中)所述,选择性抑制可包括对用于使特征表面钝化的活化物质的暴露。例如,在某些实施例中,可通过对以氮为基础或以氢为基础的等离子体的暴露而使钨(W)表面钝化。在某些实施例中,抑制可包括活化物质与特征表面之间的化学反应,以形成例如氮化钨(WN)或碳化钨(WC)等化合物材料的薄层。在某些实施例中,抑制可包括例如吸附这样的表面作用,该吸附使表面钝化而不形成化合物材料层。活化物质可通过任何适当的方法加以形成,适当的方法包括等离子体产生和/或对紫外线(UV)辐射的暴露。在某些实施例中,使包括特征的衬底暴露于由进给到内部放置有该衬底的腔室内的一种或多种气体所产生的等离子体。在某些实施例中,一种或多种气体可以进给到远程等离子体产生器内,在远程等离子体产生器中所形成的活化物质被进给到内部放置有衬底的腔室内。该等离子体源可以是包括射频(RF)等离子体源或微波源在内的任何类型的来源。等离子体可以是电感耦合和/或电容耦合等离子体。活化物质可包括原子物质、自由基物质、以及离子物质。在某些实施例中,对远程产生等离子体的暴露包括对自由基与原子化物质的暴露,在等离子体中实质上不存在离子物质使得抑制过程不以离子为媒介。在其他实施例中,离子物质可存在于远程产生等离子体中。在某些实施例中,对原位等离子体的暴露包括以离子为媒介的抑制。
针对钨(W)表面,对以氮为基础和/或以氢为基础的等离子体的暴露抑制在W表面上的后续钨沉积。可用于钨表面抑制的其他化学品包括以氧为基础的等离子体与以碳氢化合物为基础的等离子体。例如,可将分子氧或甲烷导入等离子体产生器。如本文中使用的,以氮为基础的等离子体是指非惰性成分主要为氮的等离子体。例如氩、氙、或氪之类的惰性成分可用作载气。在某些实施例中,在供产生等离子体的气体中不存在有(或有极微量)其他非惰性成分。在某些实施例中,抑制化学品可以是含氮、含氢、含氧、和/或含碳的,而一种或多种额外的反应性物质存在于等离子体中。
例如,在美国专利申请第13/351,970号中描述了用于使表面选择性钝化的特征表面的氮化。例如在活化氟自由基与位于特征开口的钨反应并且将其去除的情况下,使用NF3等离子体,由NF3等离子体所产生的氮可引起钨表面的氮化而形成氮化钨。相比于在一般主体钨膜上,氮化表面上的后续钨沉积明显延迟。较长的延迟使特征在夹止之前保持打开更久,且因为更多的WF6分子能够到达特征内部并且沉积钨而促进填充的改善。这如图11所示,其示出了包括突出部1115的部分填充特征1101。在NF3等离子体蚀刻期间,在特征的顶部附近的1103处的氮物质(例如氮自由基)比在特征更内部的1105处更多。因此,W-N形成在特征的顶部,而非特征内部中。在完全填充期间,在特征内的钨(W)表面上会比在特征的顶部的W-N表面上更容易地使钨沉积。此使得特征1101在1107处保持打开更久以促进填充的改善。
除了NF3以外,可使用例如CF4或C2F8之类的氟碳化合物。然而,在某些实施例中,抑制物质为无氟以在选择性抑制期间防止蚀刻。
在某些实施例中,替代或除了等离子体产生器以外,还可使用UV辐射和/或热能来提供活化物质。除了钨表面以外,可抑制衬垫/屏障层表面(例如TiN和/或WN表面)上的成核。可使用使这些表面钝化的化学品。针对TiN与WN,这可以包括对以氮为基础或含氮的化学品的暴露。在某些实施例中,上述用于W的化学品也可以被用于TiN、WN、或其他衬垫层表面。
调整抑制轮廓可包括适当地控制抑制化学过程、衬底偏置功率、等离子体功率、过程压力、暴露时间、以及其他工艺参数。对于原位等离子体处理(或其中存在有离子物质的其他处理),可将偏置施加至衬底。在某些实施例中,衬底偏置可明显影响抑制轮廓,增加偏置功率以在特征内部更深处产生活性物质。对于其中期望在横向方向(钨沉积优先在此结构的内部中进行)上而非垂直方向上具有选择率的3-D结构,增加的偏压功率可以用于促进由上而下的沉积均匀性。
虽然在某些实施例中偏置功率可用作调整离子物质的抑制轮廓的主要或唯一旋钮(knob),但在某些情况下,替代或除了偏压功率以外,其他执行选择性抑制还使用其他参数。这包括远程产生的非离子等离子体处理以及非等离子体处理。另外,在许多系统中,可简单地施加衬底偏置来调整在垂直方向而非横向方向上的选择率。因此,如上所述,对于其中期望横向选择率的3-D结构,可控制偏置以外的参数。
抑制化学品也可以用于通过所使用的活性抑制物质的不同比值来调整抑制轮廓。例如,对于W表面的抑制,氮可比氢具有更强的抑制效果;调整在以形成气体为基础的等离子体中的N2与H2气体的比值可以用于调整轮廓。等离子体功率也可以用于通过由等离子体功率来调整的活性物质的不同比值来调整抑制轮廓。例如,在本文所述的某些实施例中,可通过改变等离子体功率来调变氮自由基形成与导致的W-N形成以及相关钝化作用。改变等离子体功率也可以控制最终W膜的电阻系数。图12说明通过改变蚀刻功率而控制后续沉积延迟时间的能力的图表。可以理解任何介于“高”与“低”之间的功率可按照期望用于控制延迟。在图12中,相比于较高功率远程产生等离子体蚀刻,在低功率下使用NF3的远程产生等离子体蚀刻于后续沉积中造成降低的成核延迟(较快的成核)。这可归因于在高等离子体功率蚀刻期间存在较多的氮物质,从而增加WN的形成以及后续延迟。
工艺压力可以用于调整轮廓,因为压力可引起更多的再结合(使活性物质去活化)并且将活性物质推入特征的更内部。工艺时间也可以以用于调整抑制轮廓,以及增加处理时间导致对特征的更深处的抑制。
在某些实施例中,可通过在质量传送限制体系中来实现选择性抑制。在此体系中,特征内部的抑制速率受到扩散至特征内的不同抑制材料成分(例如初始抑制物质、活化抑制物质、以及再结合抑制物质)的量和/或其相关成分的限制。在某些实例中,抑制速率取决于位于特征内部的不同位置的各种成分的浓度。
在某种程度上,质量传送限制条件可通过整体抑制浓度变化加以表征。在某些实施例中,在特征内部的浓度小于其开口附近的浓度,造成在开口附近比内部高的抑制速率。这进而造成在特征开口附近的选择性抑制。质量传送限制工艺条件可通过(例如使用相应于空穴轮廓与尺寸的低抑制气体流率)将限量的抑制物质供应到处理腔室内来获得,并同时在特征开口附近维持相对高的抑制速率,以在一些活化物质扩散到特征内时这些活化物质消耗掉。在某些实施例中,浓度梯度是主要的,这会引起相对高的抑制动力学以及相对低的抑制供应。在某些实施例中,开口附近的抑制速率也可以是受质量传送限制的,但此条件并非是获得选择性抑制所需要的。
除了特征内部的整体抑制浓度变化以外,选择性抑制可受到遍布特征的不同抑制物质的相对浓度的影响。这些相对浓度接着可以依据抑制物质的解离与再结合过程的相对动力学来确定。如上所述,例如分子氮之类的初始抑制材料可通过远程等离子体产生器和/或经受原位等离子体作用,以产生活化物质(例如原子氮、氮离子)。然而,活化物质可再结合成较不具活性的再结合物质(例如氮分子)和/或与W、WN、TiN、或其他特征表面沿着它们的扩散路径反应。这样,特征的不同部分可暴露于不同浓度的不同抑制材料,例如初始抑制气体、活化抑制物质、以及再结合抑制物质。这就提供了控制选择性抑制的额外机会。例如,活化物质通常比初始抑制气体以及再结合抑制物质较具反应性。另外,在某些情况下,活化物质可比再结合物质对温度变化较不具敏感性。因此,可利用使去除主要归因于活化物质的方式来控制工艺条件。如上所述,部分的物质可比其他物质较具反应性。另外,特定的工艺条件可产生活化物质,使其在特征的开口附近的浓度比在特征内部高。举例而言,部分的活化物质在扩散到特征(尤其是小的高深宽比特征)的更深处内时可被消耗(例如与特征表面材料反应和/或吸附在此表面上)和/或再结合。活化物质的再结合也可以发生在特征的外部,例如处理腔室的喷头中,并且可取决于腔室压力。因此,可特别控制腔室压力而调整位于腔室与特征的各点处的活化物质的浓度。
抑制气体的流率可取决于腔室的尺寸、反应速率、以及其他参数。可利用使得在开口附近比在特征内部集中更多抑制材料这样的方式来选择流率。在某些实施例中,这些流率引起质量传送限制选择性抑制。例如,对于每站的195升腔室的流率可介于约25sccm与10,000sccm之间,或在更具体地实施例中,介于约50sccm与1,000sccm之间。在某些实施例中,此流率小于约2,000sccm,小于约1,000sccm,或更具体地为小于约500sccm。应注意到这些数值针对被配置用于处理300mm衬底的一个单独的站而提出。这些流率可根据衬底尺寸、设备中的站数(例如对于四站设备为四倍)、处理腔室容积、以及其他因素而按比例放大或缩小。
在某些实施例中,衬底可在选择性抑制之前被加热或冷却。可选择衬底的预定温度以引发特征表面与抑制物质之间的化学反应和/或促进抑制物质的吸附,以及控制该反应或吸附的速率。例如,可选择温度以获得高反应速率,以使开口附近比特征内部发生更多的抑制。另外,也可以选择温度以控制活化物质的再结合(例如原子氮成为分子氮的再结合)和/或控制哪种物质(例如活化或再结合物质)主要对抑制产生作用。在某些实施例中,将衬底维持在小于约300℃,或更特定为在小于约250℃,或小于约150℃,或甚至小于约100℃。在其他实施例中,将衬底加热到约300℃与450℃之间,或在更具体的实施例中,加热到约350℃与400℃之间。对于不同类型的抑制化学品可使用其他温度范围。也可以选择暴露时间以引起选择性抑制。示例的暴露时间可根据期望的选择率与特征深度而介于约10秒到500秒之间。
设备
可使用任何适当的腔室来实现这种新颖的方法。沉积设备的实例包括各种系统,例如可得自Novellus Systems,Inc.(San Jose,California)的ALTUS和ALTUS Max,或任何种种其他市售的处理腔室。
图24说明根据某些实施例的用于处理部分加工的半导体衬底的设备2400的示意图。设备2400包括具有基座2420的腔室2418、喷淋头2414、以及原位等离子体产生器2416。设备2400还包括系统控制器2422,其接收输入和/或将控制信号供应到各种装置。
从来源2402(其可以是储槽)将蚀刻剂以及在某些实施例中的惰性气体(例如氩、氦以及其他)供应到远程等离子体产生器2406。任何适当的远程等离子体产生器可用于在将蚀刻剂导入到腔室2418内之前活化蚀刻剂。举例而言,可使用远程等离子体清洁(RPC)单元,例如 i Type AX7670、 e Type AX7680、 exType AX7685、 hf-s Type AX7645,它们都可得自MKS Instruments(Andover,Massachusetts)。RPC单元一般是使用所供应的蚀刻剂来产生弱离子化等离子体的自给式装置。埋入RPC单元内的高功率RF产生器将能量提供给等离子体中的电子。该能量随后被传递给中性蚀刻剂分子而引起约2000K的温度,以使这些分子产生热解离。RPC单元可因为其高RF能量和特殊通道几何形状使蚀刻剂吸附大部分的能量,从而使大于60%的引入蚀刻剂分子解离。
在某些实施例中,使蚀刻剂从远程等离子体产生器2406流动通过连接管线2408而进入腔室2418内,在此处,混合物通过喷头2414进行分配。在其他实施例中,使蚀刻剂直接完全绕过远程等离子体产生器2406(例如,系统2400不包括这种产生器)而流入到腔室2418内。替代地,例如,因为不需要活化蚀刻剂,所以在使蚀刻剂流入到腔室2418内时,可关闭远程等离子体产生器2406。
喷头2414或基座2420一般可具有与其附接的内部等离子体产器2416。在一个实例中,产生器2416能够在介于约1MHz与100MHz之间的频率下在约0W与10,000W之间进行供给的高频率(HF)产生器。在更具体的实施例中,此HF产生器可在约13.56MHz下在约0W到5,000W之间进行输送。此RF产生器2416可产生原位等离子体,以增强初始钨层的去除。在某些实施例中,在此工艺的去除操作期间不使用此RF产生器。
腔室2418可包括用于感测各种过程参数的传感器2424,例如的沉积与蚀刻的程度、浓度、压力、温度、以及其他。传感器2424可将与此处理期间的腔室条件有关的信息提供给系统控制器2422。传感器2424的实例包括质量流量控制器、压力传感器、热电偶、以及其他。传感器2424也可以包括红外线侦测器或光学侦测器,以监视此腔室中的气体的存在并且控制测量。
沉积和选择性去除操作产生各种挥发性物质,其被排出腔室2418。此外,在腔室2418的某些预定压力等级下执行处理。使用真空出口2426来获得此两种功能,此真空出口可以是真空泵。
在某些实施例中,系统控制器2422用于控制工艺参数。系统控制器2422一般包括一个或多个内存装置以及一个或多个处理器。此处理器可包括CPU或计算机、模拟和/或数字输入/输出连接部、步进式马达控制器板等等。一般来说,可存在与系统控制器2422结合的用户接口。此用户接口可包括显示屏、设备和/或工艺条件的图形软件显示器以及例如指向装置、键盘、触控屏幕、麦克风等用户输入设备。
在某些实施例中,系统控制器2422控制衬底温度、蚀刻剂流率、远程等离子体产生器2406的功率输出、腔室2418内部的压力以及其他工艺参数。系统控制器2422执行包括指令组的系统控制软件,这些指令组用于控制时序、气体混合、腔室压力、腔室温度、以及特定工艺的其他参数。在某些实施例中,可使用储存在与此控制器结合的内存装置上的其他计算机程序。
可以使用下列任何常规计算机可读程序化语言来编写用于按照工艺顺序控制这些过程的计算机程序码:例如汇编语言、C、C++、Pascal、Fortran或其他。通过此处理器来执行编译目标码或脚本,以执行在此程序中所识别的工作。可以用许多不同的方式来设计或配置该系统软件。例如,可编写各种腔室组件子程序或控制对象,以控制执行所述的过程所需的腔室组件的操作。用于此目的的程序或程序段的实例包括工艺气体控制代码、压力控制代码、以及等离子体控制代码。
举例而言,这些控制器参数涉及例如每一操作的时序、腔室内部的压力、衬底温度、蚀刻剂流率等等工艺条件。这些参数以配方的形式提供给使用者,并且可利用用户接口输入。可通过系统控制器2422的模拟和/或数字输入连接部来提供用于监视过程的信号。在设备2400的模拟和/或数字输出连接部上输出用于控制过程的信号。
多站设备
图25A示出了多站设备2500的实例。设备2500包括处理腔室2501以及用于保持待处理的衬底与已完成处理的衬底的一个或多个晶片盒2503(例如前开式联合搬运盒)。腔室2501可具有多个站,例如,两站、三站、四站、五站、六站、七站、八站、十站、或任何其他数量的站。通常可通过处理操作的复杂性和可以在共有环境中执行的这些操作的数量来决定站数。图25A说明包括六个站(标为2511到2516)的处理腔室2501。具有单一处理腔室2501的多站设备2500中的所有站暴露于相同的压力环境。然而,每一个站可具有指定的反应物分配系统以及通过专用等离子体产生器与基座(例如,如图24所示)所获得的本地等离子体与加热条件。
使待处理的衬底从晶片盒2503之一通过装载锁2505而被装载到站2511内。外部机械手2507可以用于将衬底从晶片盒2503搬运到装载锁2505内。在所示实施例中,存在两个单独的装载锁2505。它们一般配备衬底搬运装置,以(一旦使压力平衡到对应于处理腔室2501的内部环境的等级)将衬底从装载锁2505移动到站2511内,并且将衬底从站2516移动回到装载锁2505内而从处理腔室2501移除。使用机构2509在处理站2511至2516之间搬运衬底并且在如下所述的过程期间支撑部分衬底。
在某些实施例中,可以预留一个或多个站来加热衬底。这种站可具有位于衬底上方的加热灯(未图示)和/或与图24所示相似的用于支撑衬底的加热基座。例如,站2511可接收来自装载锁的衬底并且用于在进行进一步处理之前预热衬底。其他的站可用于填充高深宽比特征,其包括沉积与蚀刻操作。
在衬底于站2511被加热或以其他方式处理之后,相继地将衬底移动到可以连续排列或不连续排列的处理站2512、2513、2514、2515和2516。多站设备2500被设置成使得所有的站暴露于相同的压力环境。如此,在不需要例如装载锁之类的搬运部的情况下,在腔室2501中将衬底从站2511搬运到其他站。
在某些实施例中,可使用一个或多个站以含钨材料来填充特征。例如,站2512可用于初始沉积操作,站2513可用于对应的选择性去除操作。在重复沉积-去除循环的实施例中,站2514可用于另一个沉积操作,并且站2515可用于另一个部分去除操作。站2516可用于最终填充操作。应当理解,可使用指定于特定过程(加热、填充、以及去除)的任何站构造。
作为上述多站设备的替代方式,此方法可在单一衬底腔室中实施或在单一处理站中以批量模式(即,非连续)处理衬底的多站腔室中实施。在本发明的这个方面中,将衬底装载到腔室内并且设置在单一处理站(不管其是仅具有一个处理站的设备还是具有以批次模式运作的多个站的设备)的基座上。然后可以加热衬底并且可以执行沉积操作。接着可调整腔室内的工艺条件,然后执行沉积层的选择性去除。此过程可继续进行一个或多个沉积-去除循环以及最终填充操作,它们全部都在同一个站上执行。替代地,可以先使用单一站设备在多个晶片上执行新方法中的操作中的仅仅一个(例如沉积、选择性去除、最终填充),在此之后可使衬底返回到同一个站或移动到(例如不同设备的)不同的站,以执行剩余操作中的一个或多个。
多腔室设备
图25B是根据某些实施例的可以使用的多腔室设备2520的示意图。如图所示,设备2520具有三个单独的腔室2521、2523、以及2525。这些腔室的每一个被图示为具有两个基座。应当理解,设备可具有任何数量的腔室(例如一个、两个、三个、四个、五个、六个等),并且每一个腔室可具有任何数量的腔室(例如一个、两个、三个、四个、五个、六个等)。每一个腔室2521至2525具有其本身的压力环境,此压力环境在腔室之间不为共有。每一个腔室可具有一个或多个对应的搬运部(例如装载锁)。此设备也可以具有共有的衬底搬运机械手2527,其用于在搬运部与一个或多个晶片盒2529之间搬运衬底。
如上所述,个别的腔室可用于沉积含钨材料以及在后续操作中的这些沉积材料的选择性去除。将这两种操作分开在不同腔室可通过在每一个腔室内维持相同的环境条件而显著有助于改善处理速度。换言之,腔室不需将其环境从用于沉积的条件改变成用于选择性去除的条件并且改变回来,这可能涉及不同的前体、不同的温度、不同的压力、以及其他工艺参数。在某些实施例中,在两个或两个以上不同腔室之间搬运部分制造的半导体衬底比改变这些腔室的环境条件更快。
图案化方法/设备:
在上文中所述的设备/过程可以与例如用于半导体装置、显示器、LED、光伏板等的加工或制造的光刻图案化工具或过程结合一起使用。一般而言,尽管未必,但这种工具/过程将在共同制造设施中被一起使用或执行。膜的平版印刷图案化一般包括下列步骤中的一些或所有,每一个步骤允许使用多个可行的工具:(1)使用旋涂工具或喷涂工具将光致抗蚀剂施加在工件(即,衬底)上;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或UV光或x-射线光;(4)使用例如湿式工作台之类的工具使光致抗蚀剂显影,以便选择性地去除光致抗蚀剂并由此将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将光致抗蚀剂图案转印到底层膜或工件内;并且(6)使用例如RF或微波等离子体光致抗蚀剂剥除机之类的工具来去除光致抗蚀剂。

Claims (10)

1.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积钨以用第一主体钨层填充所述特征;
去除所述第一主体钨层的一部分以在所述特征内留下蚀刻过的钨层,包括从一个或多个侧壁去除钨;并且
在所述蚀刻过的钨层上选择性地沉积第二主体钨层。
2.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁、特征内部和沿着所述特征的长度延伸的特征轴;
在所述特征内沉积钨以用第一主体钨层来填充所述特征,其中晶粒生长实质上正交于所述特征轴;
去除所述第一主体钨层的一部分,以在所述特征内留下蚀刻过的钨层;并且
在所述蚀刻过的钨层上选择性地沉积第二主体钨层,其中晶粒生长实质上平行于所述特征轴。
3.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积钨以用第一主体钨层来填充所述特征;
在去除所述钨的一部分之后接收所述衬底,所述接收的特征包括蚀刻过的钨层;并且
在所述蚀刻过的钨层上选择性地沉积第二主体钨层,其中所述第二主体钨层相对所述特征不保形。
4.一种方法,包括:
接收包括特征的衬底,所述特征具有特征开口、特征侧壁和封闭的特征末端,所述特征填充有包括空隙和/或接缝的保形主体钨层,所述空隙和/或接缝形成在所述保形主体钨层中;并且
蚀刻所述保形主体钨层的一部分,包括从所述特征的所述侧壁去除钨,以使钨实质上仅留在所述封闭的特征末端。
5.一种方法,包括:
接收包括特征的衬底,所述特征具有两个特征开口、特征侧壁和特征内部,所述特征填充有包括空隙和/或接缝的保形主体钨层,所述空隙和/或接缝形成在所述保形主体钨层中;并且
蚀刻所述保形主体钨层的一部分,包括从在所述特征开口附近的所述特征的所述侧壁去除钨,以使钨实质上仅留在所述特征内部中。
6.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内沉积第一主体钨层;
蚀刻所述第一主体钨层以形成蚀刻过的钨层,其中蚀刻所述第一主体钨层包括去除在所述特征内达到从所述一个或多个特征开口延伸的凹槽深度的实质上所有的钨;并且
在所述特征内沉积第二主体钨层。
7.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积硼层;
使所述特征内的一部分的所述硼层转变成钨,以在所述特征内留下剩余硼层;
选择性地蚀刻所述钨而不蚀刻所述剩余硼层;并且
使所述剩余硼层转变成钨。
8.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
在所述特征内保形地沉积硼层,所述硼层具有至少约5nm的厚度;
使所述硼层特征的整个厚度转变成钨,以使所述特征的已填充部分经历体积膨胀;并且
重复所述保形沉积和转变操作一次或多次而部分或完全地以钨来填充所述特征。
9.一种方法,包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口、特征侧壁和特征内部;
使用含卤素还原剂在所述特征内保形地沉积钨层;
抽出含卤素副产物;并且
在所述保形钨层上沉积无氟含钨。
10.一种设备,包括:
一个或多个腔室,其被配置成支撑衬底;
等离子体产生器,其被配置成在一个或多个所述腔室中或者远离一个或多个所述腔室产生等离子体;
气体入口,其被配置成引导气体进入所述一个或多个腔室的每一个中;以及
控制器,其包括用于引入一种或多种气体到所述一个或多个腔室中并且产生一种或多种蚀刻剂等离子体的程序指令以执行根据权利要求1至39中的任一项所述的方法。
CN201811491805.5A 2012-03-27 2013-03-27 钨特征填充 Active CN110004429B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261616377P 2012-03-27 2012-03-27
US61/616,377 2012-03-27
CN201380022693.8A CN104272441A (zh) 2012-03-27 2013-03-27 钨特征填充

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380022693.8A Division CN104272441A (zh) 2012-03-27 2013-03-27 钨特征填充

Publications (2)

Publication Number Publication Date
CN110004429A true CN110004429A (zh) 2019-07-12
CN110004429B CN110004429B (zh) 2021-08-31

Family

ID=49261216

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201811491805.5A Active CN110004429B (zh) 2012-03-27 2013-03-27 钨特征填充
CN202110914064.2A Pending CN113862634A (zh) 2012-03-27 2013-03-27 钨特征填充
CN201380022693.8A Pending CN104272441A (zh) 2012-03-27 2013-03-27 钨特征填充

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN202110914064.2A Pending CN113862634A (zh) 2012-03-27 2013-03-27 钨特征填充
CN201380022693.8A Pending CN104272441A (zh) 2012-03-27 2013-03-27 钨特征填充

Country Status (6)

Country Link
US (6) US9653353B2 (zh)
JP (1) JP6273257B2 (zh)
KR (2) KR102064627B1 (zh)
CN (3) CN110004429B (zh)
TW (1) TWI602283B (zh)
WO (1) WO2013148880A1 (zh)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8912574B2 (en) * 2010-12-14 2014-12-16 International Business Machines Corporation Device isolation with improved thermal conductivity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
KR102291990B1 (ko) * 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR20160079031A (ko) * 2013-11-27 2016-07-05 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6269175B2 (ja) * 2014-03-05 2018-01-31 株式会社デンソー 半導体装置の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
KR20150110965A (ko) * 2014-03-21 2015-10-05 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9390939B2 (en) * 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN104072138B (zh) * 2014-06-18 2015-10-28 河海大学 一种碳化钨-立方氮化硼复合材料及其制备方法
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US10176996B2 (en) * 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
SG11201703033RA (en) 2014-10-17 2017-05-30 Acm Res Shanghai Inc Barrier layer removal method and semiconductor structure forming method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9666507B2 (en) * 2014-11-30 2017-05-30 United Microelectronics Corp. Through-substrate structure and method for fabricating the same
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
JP6473405B2 (ja) * 2015-10-05 2019-02-20 浜松ホトニクス株式会社 配線構造体の製造方法
US20170104000A1 (en) 2015-10-13 2017-04-13 Joo-Hee PARK Vertical memory devices
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
TWI680535B (zh) * 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6751631B2 (ja) 2016-09-13 2020-09-09 東京エレクトロン株式会社 基板の凹部をタングステンで充填する方法
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10211099B2 (en) * 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
TW201839897A (zh) * 2017-02-22 2018-11-01 美商應用材料股份有限公司 自對準接觸圖案化之臨界尺寸控制
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
TWI757478B (zh) * 2017-05-02 2022-03-11 美商應用材料股份有限公司 形成鎢支柱的方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
KR102399497B1 (ko) * 2017-05-29 2022-05-19 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TWI719316B (zh) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP7256135B2 (ja) * 2017-06-23 2023-04-11 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 選択的な膜成長のための原子層堆積の方法
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20200019766A (ko) * 2017-07-13 2020-02-24 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 핵 생성 층들을 증착하기 위한 방법들 및 장치
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019036292A1 (en) * 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN107564861A (zh) * 2017-09-29 2018-01-09 睿力集成电路有限公司 一种晶体管结构、存储单元、存储器阵列及其制备方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10840405B2 (en) * 2017-10-31 2020-11-17 Sivananthan Laboratories, Inc. Inductively coupled plasma for hydrogenation of type II superlattices
US20200402846A1 (en) * 2017-11-20 2020-12-24 Lam Research Corporation Self-limiting growth
CN107946234A (zh) * 2017-11-20 2018-04-20 睿力集成电路有限公司 半导体互连结构及其制备方法
KR102388313B1 (ko) 2017-11-27 2022-04-19 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법
JP7085824B2 (ja) 2017-11-28 2022-06-17 東京エレクトロン株式会社 成膜方法
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10669160B2 (en) * 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11037838B2 (en) * 2018-09-18 2021-06-15 Applied Materials, Inc. In-situ integrated chambers
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111244025A (zh) * 2018-11-29 2020-06-05 北京北方华创微电子装备有限公司 填充方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) * 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
CN113557320A (zh) 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体
US11189633B2 (en) 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US20220254685A1 (en) * 2019-05-22 2022-08-11 Lam Research Corporation Nucleation-free tungsten deposition
US11133178B2 (en) * 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
KR20220082023A (ko) * 2019-10-15 2022-06-16 램 리써치 코포레이션 몰리브덴 충진
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
KR20210062824A (ko) 2019-11-22 2021-06-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN115136285A (zh) 2020-01-16 2022-09-30 恩特格里斯公司 蚀刻或沉积的方法
US11742208B2 (en) * 2020-03-25 2023-08-29 Texas Instruments Incorporated Method of reducing voids and seams in trench structures by forming semi-amorphous polysilicon
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
JP2022032210A (ja) 2020-08-11 2022-02-25 キオクシア株式会社 半導体記憶装置
US20240006180A1 (en) * 2020-11-20 2024-01-04 Lam Research Corporation Low resistance pulsed cvd tungsten
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures
EP4033518A1 (en) * 2021-01-25 2022-07-27 Infineon Technologies Austria AG Method for fabricating a semiconductor device using wet etching and dry etching and semiconductor device
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充
WO2023114106A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Large grain tungsten growth in features
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226280A (ja) * 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20030190802A1 (en) * 2001-06-19 2003-10-09 United Microelectronics Corp. Method for forming a plug metal layer
US20040142557A1 (en) * 2003-01-21 2004-07-22 Novellus Systems, Inc. Deposition of tungsten nitride
CN101154576A (zh) * 2006-09-29 2008-04-02 海力士半导体有限公司 形成具有低电阻的钨多金属栅极的方法
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN101447427A (zh) * 2007-11-30 2009-06-03 海力士半导体有限公司 钨膜的形成方法及使用其的半导体装置的布线的形成方法
US20100072623A1 (en) * 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
CN101770978A (zh) * 2008-12-31 2010-07-07 Y·舒尔 高长宽比的插头填充方法
US20120009785A1 (en) * 2010-07-09 2012-01-12 Anand Chandrashekar Depositing Tungsten Into High Aspect Ratio Features
US8129270B1 (en) * 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
CN103125013A (zh) * 2010-09-27 2013-05-29 诺发系统公司 用于在穿孔中选择性沉积钨的系统和方法

Family Cites Families (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
EP0087834B1 (de) 1982-03-03 1987-07-08 BBC Aktiengesellschaft Brown, Boveri & Cie. Druckwellenlader mit Wälzlagerung des Rotors
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
JPH0794488B2 (ja) 1987-09-07 1995-10-11 ダイセル化学工業株式会社 光学活性な重合用触媒及び光学活性な高分子の合成方法
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
DE69033760T2 (de) 1990-01-08 2001-10-25 Lsi Logic Corp Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) * 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) * 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) * 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (zh) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR200160641Y1 (ko) 1996-08-23 1999-11-15 맹섭 골프백용 등걸이 멜빵
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) * 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
CN1295756C (zh) 2000-11-17 2007-01-17 东京毅力科创株式会社 在阻挡膜上形成钨膜的方法
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
KR20050110613A (ko) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 알루미늄 포스페이트 코팅
JP4429919B2 (ja) 2002-12-27 2010-03-10 株式会社アルバック 窒化タングステン膜の成膜方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
KR101108304B1 (ko) * 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
CN100370585C (zh) 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
CN1942999B (zh) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 一种用于对高压放电灯的不含氧化钍的钨电极的热处理方法
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) * 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR20080061978A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
CN101952944B (zh) 2007-11-21 2013-01-02 朗姆研究公司 控制对含钨层的蚀刻微负载的方法及其设备
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) * 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
CN102574884B (zh) 2009-08-07 2016-02-10 西格玛-奥吉奇有限责任公司 高分子量烷基-烯丙基三羰基钴配合物及其用于制备介电薄膜的用途
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US8809193B2 (en) 2009-09-02 2014-08-19 Ulvac, Inc. Method for the formation of Co film and method for the formation of Cu interconnection film
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
EP2501722A4 (en) 2009-11-19 2013-05-01 Univ Singapore METHOD FOR PRODUCING MONOCLONAL ANTIBODIES LIKE T-LYMPHOCYTE RECEPTORS AND USES THEREOF
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
CN101789369A (zh) * 2010-01-28 2010-07-28 上海宏力半导体制造有限公司 多金属钨栅极刻蚀方法
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
WO2011113177A1 (en) 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) * 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272440B (zh) 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI613845B (zh) 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05226280A (ja) * 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20030190802A1 (en) * 2001-06-19 2003-10-09 United Microelectronics Corp. Method for forming a plug metal layer
US20040142557A1 (en) * 2003-01-21 2004-07-22 Novellus Systems, Inc. Deposition of tungsten nitride
CN101154576A (zh) * 2006-09-29 2008-04-02 海力士半导体有限公司 形成具有低电阻的钨多金属栅极的方法
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN101447427A (zh) * 2007-11-30 2009-06-03 海力士半导体有限公司 钨膜的形成方法及使用其的半导体装置的布线的形成方法
US20100072623A1 (en) * 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US8129270B1 (en) * 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
CN101770978A (zh) * 2008-12-31 2010-07-07 Y·舒尔 高长宽比的插头填充方法
US20120009785A1 (en) * 2010-07-09 2012-01-12 Anand Chandrashekar Depositing Tungsten Into High Aspect Ratio Features
CN103125013A (zh) * 2010-09-27 2013-05-29 诺发系统公司 用于在穿孔中选择性沉积钨的系统和方法

Also Published As

Publication number Publication date
KR20200006620A (ko) 2020-01-20
US20170278749A1 (en) 2017-09-28
US20130302980A1 (en) 2013-11-14
US20160190008A1 (en) 2016-06-30
KR102131581B1 (ko) 2020-07-08
US20150056803A1 (en) 2015-02-26
CN110004429B (zh) 2021-08-31
CN113862634A (zh) 2021-12-31
TW201405781A (zh) 2014-02-01
WO2013148880A1 (en) 2013-10-03
US11075115B2 (en) 2021-07-27
KR102064627B1 (ko) 2020-01-09
TWI602283B (zh) 2017-10-11
JP6273257B2 (ja) 2018-01-31
JP2015512568A (ja) 2015-04-27
US10103058B2 (en) 2018-10-16
US9240347B2 (en) 2016-01-19
US20190019725A1 (en) 2019-01-17
KR20140141686A (ko) 2014-12-10
US20210327754A1 (en) 2021-10-21
CN104272441A (zh) 2015-01-07
US9653353B2 (en) 2017-05-16

Similar Documents

Publication Publication Date Title
CN110004429A (zh) 钨特征填充
JP7224335B2 (ja) モリブデンを含有する低抵抗膜
JP6971539B2 (ja) フッ素含有量が少ないタングステン膜
US11348795B2 (en) Metal fill process for three-dimensional vertical NAND wordline
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN104272440A (zh) 用核化抑制的钨特征填充
KR20150013086A (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
KR20150077376A (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
WO2020210260A1 (en) High step coverage tungsten deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant