TWI757478B - 形成鎢支柱的方法 - Google Patents

形成鎢支柱的方法 Download PDF

Info

Publication number
TWI757478B
TWI757478B TW107114805A TW107114805A TWI757478B TW I757478 B TWI757478 B TW I757478B TW 107114805 A TW107114805 A TW 107114805A TW 107114805 A TW107114805 A TW 107114805A TW I757478 B TWI757478 B TW I757478B
Authority
TW
Taiwan
Prior art keywords
film
amorphous silicon
tungsten
silicon film
recessed
Prior art date
Application number
TW107114805A
Other languages
English (en)
Other versions
TW201843708A (zh
Inventor
愛柏亥吉巴蘇 馬里克
帕拉米特 曼納
陳一宏
段子青
程睿
江施施
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201843708A publication Critical patent/TW201843708A/zh
Application granted granted Critical
Publication of TWI757478B publication Critical patent/TWI757478B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

描述了形成自對準圖案的方法。在圖案化薄膜上沉積薄膜材料以填充和覆蓋由所述圖案化薄膜形成的特徵。使所述薄膜材料凹入到低於所述圖案化薄膜的頂部的位準。通過暴露於金屬前驅物來將所述凹入薄膜轉化為金屬薄膜,接著所述金屬薄膜體積膨脹。

Description

形成鎢支柱的方法
本揭示內容總體上涉及沉積和處理薄膜的方法。特別地,本揭示內容涉及用於填充基板中的溝槽的製程。
半導體工業正在快速開發具有越來越小的電晶體尺寸的晶圓以獲得每單位面積更多功能。隨著元件尺寸不斷縮小,在元件之間的間隙/空間也在不斷縮小,這提高了使元件彼此物理隔離的難度。用高品質的介電材料對在元件之間的通常為不規則形狀的高深寬比溝槽/空間/間隙進行填充正成為對用現有方法(包括間隙填充,硬遮罩和間隔器應用)的實現方式的不斷增加的挑戰。選擇性沉積方法典型地包括在基板上沉積遮罩材料並將遮罩材料圖案化以形成圖案化遮罩。基板的區域可以隨後在對遮罩圖案化後透過圖案化的遮罩而被暴露。可以將圖案化的遮罩從基板去除以暴露基板的未經佈植的區域,並且可以將材料選擇性地沉積在所述基板的選定區域上。
本領域中需要用於具有較小臨界尺寸的晶圓設計的新的方法。另外,一直需要用於硬遮罩和間隔器應用的高品質的金屬氧化物薄膜以及在基板上形成圖案化薄膜的方法。
本揭示內容的一個或多個實施方式針對處理方法,所述處理方法包括向基板表面提供圖案化薄膜,所述圖案化薄膜形成至少一個特徵。所述至少一個特徵從所述圖案化薄膜的頂表面向底表面延伸一深度。所述至少一個特徵具有由第一側壁和第二側壁界定的寬度。在所述圖案化薄膜上沉積薄膜以填充所述至少一個特徵並在所述圖案化薄膜的頂表面上方延伸。使所述薄膜凹入來使薄膜的頂部降低到等於或低於所述圖案化薄膜的頂表面的高度以形成凹入薄膜。將所述凹入薄膜轉化為鎢薄膜。使所述鎢薄膜膨脹以形成從所述至少一個特徵延伸的支柱。
本揭示內容的另外實施方式針對處理方法,所述處理方法包括向基板表面提供圖案化薄膜,所述圖案化薄膜形成至少一個特徵。所述至少一個特徵從頂表面向底表面延伸一深度並且具有由第一側壁和第二側壁界定的寬度。將所述基板表面暴露於包含甲矽烷、乙矽烷、丙矽烷或丁矽烷中的一種或多種的矽前驅物,來通過熱解在所述基板表面上沉積非晶矽薄膜以填充所述至少一個特徵並在基板表面上方延伸。用氫電漿或氫自由基蝕刻所述非晶矽薄膜,使所述非晶矽薄膜凹入來使所述薄膜的頂部降低到等於或低於所述圖案化薄膜的頂表面的高度以形成凹入非晶矽薄膜。將所述凹入非晶矽薄膜暴露於鎢前驅物來與所述凹入非晶矽薄膜反應以將大體上整個的凹入非晶矽薄膜都轉化為鎢薄膜。使所述鎢薄膜氧化來使鎢薄 膜膨脹以形成從所述至少一個特徵大體上筆直地向上延伸的鎢支柱。
本揭示內容的進一步實施方式針對處理方法,所述處理方法包括向基板表面提供圖案化薄膜,所述圖案化薄膜形成至少一個特徵。所述至少一個特徵從頂表面向底表面延伸一深度並且具有由第一側壁和第二側壁界定的寬度。將所述基板表面暴露於包含甲矽烷、乙矽烷、丙矽烷或丁矽烷中的一種或多種的矽前驅物,來通過熱解在所述基板表面上沉積非晶矽薄膜以填充所述至少一個特徵並在所述圖案化薄膜的頂表面上方延伸。所述熱解在沒有矽共反應物的情況下、並且在不用電漿的情況下在範圍為約300℃至約550℃的溫度下或在用電漿的情況下在範圍為約-100℃至約50℃的溫度下進行。用氫電漿或氫自由基蝕刻所述非晶矽薄膜,使所述非晶矽薄膜凹入來使所述薄膜的頂部降低到等於或低於所述圖案化薄膜的頂表面的高度以形成凹入非晶矽薄膜。在範圍為約300℃至約550℃的溫度下將所述凹入非晶矽薄膜暴露於包含WF6的鎢前驅物,來與所述凹入非晶矽薄膜反應以將大體上整個的凹入非晶矽薄膜都轉化為鎢薄膜。使所述鎢薄膜氧化來使鎢薄膜膨脹以形成從所述至少一個特徵大體上筆直地向上延伸的鎢支柱。
在描述本揭示內容的若干示例性實施方式前,應當理解,本揭示內容不限於以下描述中闡述的構造或製程步驟的細節。本揭示內容能夠具有其他實施方式並能夠以各種方式來實踐或實施。
如本文所用的「基板」是指在製造製程期間在執行薄膜處理的基板上形成的任何基板或材料表面。例如,可執行處理的基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI))、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,諸如金屬、金屬氮化物、金屬合金和其他導電材料,這取決於應用。基板包括但不限於半導體晶圓。基板可暴露於預處理製程以將基板表面拋光、蝕刻、還原、氧化、羥化、退火、UV固化、E射束固化和/或烘烤。除了直接在基板本身的表面上的薄膜處理之外,本揭示內容中,所揭示的薄膜處理步驟中的任一者也可在基板上形成的下層上執行,如下文所更詳細揭示的,並且術語「基板表面」旨在包括如上下文指示的此類下層。因此,例如,在薄膜/層或部分薄膜/層已被沉積在基板表面上時,新沉積薄膜/層的暴露表面變為基板表面。
本揭示內容的一個或多個實施方式針對用於沉積金屬氧化物薄膜以用於任何共形、非共形和/或低至高深寬比自對準圖案化或間隙/溝槽/孔洞填充應用的方法。本揭示內容的實施方式有利地提供了用於在具有小尺寸的高深寬比(AR)結構中沉積薄膜(例如,金屬氧化物薄膜)的方法。本揭示內容的一些實施方式有利地提供了填充間隙而不在間隙中形成接縫的方法。本揭示內容的一個或多個實施方式有利地提供了形成自對準圖案的方法。
圖1示出了具有特徵110的基板100的部分剖視圖。附圖出於說明目的而示出了具有單個特徵的基板;然而,本領域的技術人員將理解,可以有多於一個特徵。特徵110的形狀可以是任何合適的形狀,包括但不限於溝槽和圓柱形的通孔。在特定實施方式中,特徵110是溝槽。如就此所用的,術語「特徵」表示任何有意的表面不規則處。特徵的合適實例包括但不限於具有一個頂部、兩個側壁和一個底部的溝槽、具有一個頂部和從表面向上延伸的兩個側壁的尖峰、以及具有從表面向下延伸的側壁和敞開底部的通孔。特徵或溝槽可以具有任何合適的深寬比(特徵的深度與特徵的寬度的比率)。在一些實施方式中,該深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1。
基板100具有頂表面120。所述至少一個特徵110在頂表面120中形成開口。特徵110從頂表面120延伸深度D而到達底表面112。特徵110具有第一側壁114和第二側壁116,二者界定特徵110的寬度W。由側壁和底部形成的敞開區域也被稱為間隙。雖然特徵110被示出為形成在單個部件基板100中的溝槽,但是本領域的技術人員將認識到,特徵110可由基板100上的圖案化薄膜形成。圖2A示出了特徵110被形成為由圖案化薄膜130形成或在圖案化薄膜130內形成的溝槽或通孔的實施方式。
現在參照圖2A至2C,描述了本揭示內容的示例性實施方式。提供基板100來在具有或不具有圖案化薄膜130形成在其上的情況下處理。如就此所用的,術語「提供」表示基板放置到某個位置或環境中用以進一步處理。在一些實施方式中,圖案化薄膜130形成在基板100上以提供所述至少一個特徵110。在一些實施方式中,基板100被提供為已有圖案化薄膜130存在。
如圖2A所示,圖案化薄膜可以是與基板100不同的材料,使得存在第一表面132和不同於第一表面132的第二表面134。特徵110形成在圖案化薄膜130中,使得特徵110的底部112提供第一表面132和側壁114、116,並且特徵110的頂表面120提供第二表面134。圖案化薄膜130可以是任何合適的材料。一些實施方式的圖案化薄膜130包括低介電常數介電質(例如,SiOC)。圖案化薄膜130可經選擇以與後續的處理條件相容。
在圖2B中,在基板100表面上沉積或形成薄膜140以填充特徵110並在圖案化薄膜130的頂表面120上方延伸。薄膜140可以是由任何合適製程形成的任何合適的薄膜,所述任何合適製程包括但不限於化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積和/或物理氣相沉積。在一些實施方式中,薄膜140通過原子層沉積或電漿增強原子層沉積形成。
在一些實施方式中,前驅物與惰性氣體、載體氣體和/或稀釋氣體一起流入容納基板100的處理腔室中。前驅物可化學吸附到基板100或圖案化薄膜130以在基板或圖案化薄膜上留下化學吸附的前驅物。共反應物可然後流入處理腔室以與化學吸附的前驅物反應以沉積薄膜140。在一些實施方式中,前驅物與共反應物一起流入處理腔室。前驅物和共反應物可以氣相進行反應並且形成沉積到基板或圖案化薄膜上以使薄膜140生長的物質。
在一些實施方式中,薄膜140通過前驅物的熱解來沉積。在一些實施方式中,所述熱解不包括與前驅物反應的共反應物來沉積薄膜140。例如,矽前驅物可暴露於基板以在基板100上和特徵110內沉積或形成薄膜140。
在一些實施方式中,薄膜140包含非晶矽。在一個或多個實施方式中,薄膜140基本上由非晶矽組成。如就此所用的,術語「基本上由……組成」是指薄膜以莫耳計大於或等於約95%、98%或99%的非晶矽。
形成非晶矽薄膜可通過任何合適的技術來完成。在一些實施方式中,通過在沒有共反應物或大體上沒有共反應物的情況下熱解矽前驅物來形成非晶矽薄膜。如就此所用的,術語「大體上沒有共反應物」是指與矽前驅物反應的任何物質或吸附的前驅物分子以小於或等於約50%、40%、30%、20%、10%或5%的化學計量的量存在用於進行反應。
在一些實施方式中,使用甲矽烷、乙矽烷、丙矽烷或丁矽烷中的一種或多種沉積非晶矽薄膜。在一些實施方式中,可使用更高價矽烷(例如,大於或等於5、6、7、8、9或10個矽原子)來沉積非晶矽薄膜。
在一些實施方式中,通過共形沉積製程沉積非晶矽薄膜,其中矽前驅物在足以促進前驅物熱解的溫度和壓力下暴露於基板100和任何圖案化薄膜130。在一些實施方式中,在沉積期間的溫度在約300℃至約550℃的範圍內或在約350℃至約500℃的範圍內。在一些實施方式中,在沉積期間的壓力在約10T至約600T的範圍內,或在約50T至約500T的範圍內,或在約100T至約400T的範圍內。通過共形沉積製程對非晶矽薄膜的沉積可以在有電漿暴露或沒有電漿暴露的情況下進行。在一些實施方式中,共形沉積製程在沒有電漿暴露的情況下進行。
在一些實施方式中,非晶矽薄膜通過可流動薄膜沉積製程沉積。在可流動薄膜沉積製程期間的溫度可以在約-100℃至約50℃的範圍內,或在約-50℃至約25℃的範圍內。在一些實施方式中,可流動薄膜沉積製程在約1T至約10T範圍內的壓力下進行。在一個或多個實施方式中,可流動薄膜沉積製程包括使用具有範圍為約10W至約200W的功率的RF電漿的電漿暴露。所述電漿可以是導電耦合電漿(CCP)或電感耦合電漿(ICP)。
如圖2C所示,在沉積後,薄膜140凹入以形成具有頂部141的凹入薄膜145。頂部141被降低到等於或低於圖案化薄膜130的頂表面120的高度。在一些實施方式中,凹入薄膜145具有與圖案化薄膜130的頂表面120大體上共面頂部141。如以此方式所用的,術語「大體上共面」表示頂部141在距圖案化薄膜130的頂表面120 1Å內。在一些實施方式中,凹入薄膜145的頂部141在圖案化薄膜130的高度的約20%至約98%的範圍內。在一些實施方式中,凹入薄膜145的頂部141在圖案化薄膜130的高度的約30%至約95%或約40%至約90%或約50%至約85%的範圍內。
使薄膜140凹入以形成凹入薄膜145可通過任何合適的技術來完成。在一些實施方式中,使薄膜140凹入包括蝕刻薄膜140。在一些實施方式中,蝕刻包括反應離子蝕刻(RIE)製程。在一些實施方式中,RIE使用溴基蝕刻劑。在一個或多個實施方式中,蝕刻薄膜140包括將薄膜140暴露於氫電漿或氫自由基。氫電漿可以是遠端電漿或直接電漿,並且可以是CCP或ICP。氫自由基可通過任何合適的手段來產生,包括電漿產生或通過使蝕刻劑流過熱絲以產生自由基。
凹入薄膜145被轉化成鎢薄膜150,如圖2D所示。凹入薄膜的轉化可通過任何合適的反應來完成。在一些實施方式中,凹入薄膜是通過暴露於鎢前驅物而轉化成鎢薄膜。鎢前驅物可以是例如可與凹入薄膜反應以用鎢原子換取矽原子的鎢鹵化物。
在一些實施方式中,鎢前驅物包含WF6 。在一些實施方式中,暴露於鎢前驅物在範圍為約300℃至約550℃的溫度和範圍為約10T至約100T範圍的壓力下進行。鎢前驅物可與可作為稀釋氣體、載體氣體或惰性氣體(例如,氬)或反應氣體(例如,H2 )的其他氣體共流。在一些實施方式中,鎢前驅物與促進鎢前驅物與凹入薄膜反應的反應氣體共流。
在示例性實施方式中,凹入薄膜145包含非晶矽或基本上由非晶矽組成,並且鎢前驅物包含WF6 。鎢前驅物在約550℃的溫度和約20托的壓力下被暴露於非晶矽薄膜。
在一些實施方式中,大體上整個的凹入薄膜都轉化成鎢。如就此所用的,術語「大體上整個的」表示大於或等於約95%、98%或99%的凹入薄膜被轉化成鎢。用於轉化大體上整個的凹入薄膜的時間量取決於例如溫度、壓力、薄膜組成、薄膜厚度和鎢前驅物。在一些實施方式中,在550℃和20托下,200-300Å的非晶矽可以在少於約4分鐘的時間內被轉化為鎢。
在將凹入薄膜145轉化為鎢150後,鎢薄膜150的頂部151的高度可與凹入薄膜的高度相同或不同。鎢薄膜150相對於凹入薄膜的高度取決於例如薄膜中存在的物質和該物質的原子半徑。在一些實施方式中,鎢薄膜150的高度使得薄膜150的頂部151等於或低於圖案化薄膜130的頂表面120。
如圖2E所示,鎢薄膜150可膨脹來引起鎢薄膜150的體積膨脹以形成從頂表面120延伸的鎢支柱155。一些實施方式的鎢支柱155從表面120筆直向上延伸。薄膜150的膨脹可以在約10%至約1000%的範圍內,或在約50%至約800%的範圍內,或在約100%至約700%的範圍內。在一些實施方式中,薄膜130膨脹大於或等於約150%、200%、250%、300%或350%的量。在一些實施方式中,薄膜150膨脹在約300%至約400%的範圍內的量。在一些實施方式中,鎢支柱155具有的高度比鎢薄膜150大等於或大於鎢薄膜150的高度的約50%、60%、70%、80%、90%、100%、125%、150%、175%或200%。
在一些實施方式中,薄膜150通過暴露於矽化劑或矽化條件而膨脹以將金屬或含金屬的薄膜轉化為金屬矽化物薄膜。矽化劑可以是任何合適的矽化劑,包括但不限於甲矽烷、乙矽烷、丙矽烯、丁矽烷、戊矽烷、己矽烷、三甲基矽烷、具有三甲基矽烷取代基的化合物和上述矽化劑的組合。在一些實施方式中,矽化條件包括熱矽化、電漿增強矽化、遠端電漿矽化、微波和射頻(例如,ICP、CCP)。
在一些實施方式中,薄膜150通過暴露於鍺化劑或鍺化條件而膨脹以將金屬或含金屬的薄膜轉化為金屬鍺化物薄膜。鍺化劑可以是任何合適的鍺化劑,包括但不限於甲鍺烷、乙鍺烷、丙鍺烯、丁鍺烷、戊鍺烷、己鍺烷、三甲基鍺烷、具有三甲基鍺烷取代基的化合物和上述鍺化劑的組合。在一些實施方式中,鍺化條件包括熱鍺化、電漿增強鍺化、遠端電漿鍺化、微波和射頻(例如,ICP、CCP)。
如圖2E所示,在膨脹期間,在特徵的頂部維持特徵形狀的保形度,使得薄膜150從特徵110筆直向上生長。如就此所用的,「筆直向上」表示膨脹的薄膜或支柱155的側面與特徵110的側壁114、116是大體上共面。表面與側壁114共面,其中在側壁114與表面的接合處形成的角度為±10°。
在一些實施方式中,鎢薄膜150在膨脹前摻雜有摻雜劑。摻雜劑可在鎢薄膜150形成的同時結合到鎢薄膜150中,或與薄膜沉積順序地在單獨製程中結合到鎢薄膜150中。例如,可以在進行鎢薄膜150的沉積之後,在相同的製程腔室或不同的製程腔室中以單獨製程用摻雜劑摻雜鎢薄膜150。
根據一個或多個實施方式,基板在形成層之前和/或之後經受處理。此處理可在相同腔室中或在一個或多個單獨的處理腔室中執行。在一些實施方式中,基板從第一腔室移動到單獨的第二腔室,用以進一步處理。基板可直接地從第一腔室移動到單獨的處理腔室,或基板可從第一腔室移動到一個或多個傳送腔室,並然後移動到單獨的處理腔室。因此,處理設備可以包括與傳送站相連通的多個腔室。此種設備可被稱為「群集工具」或「群集系統」等等。
一般地,群集工具是包括多個腔室的模組化系統,這些腔室執行各種功能,包括基板定中心和取向、脫氣、退火、沉積和/或蝕刻。根據一個或多個實施方式,群集工具包括至少一個第一腔室以及中央傳送腔室。中央傳送腔室可以容置機器人,所述機器人能使基板穿梭於各處理腔室和裝載閘腔室之間以及在各處理腔室和各裝載閘腔室中穿梭。傳送腔室典型地維持在真空條件下並且提供中間平臺,中間平臺用於使基板從一個腔室穿梭到另一個腔室和/或穿梭到定位在群集工具的前端的裝載閘腔室。可適用於本發明的兩個熟知的群集工具是Centura®和Endura®,二者均可得自加利福尼亞州聖克拉拉應用材料公司(Applied Materials, Inc., of Santa Clara, Calif.)。然而,腔室的準確佈置和組合可出於執行如本文所述的製程的特定步驟的目的而更改。其他可用的處理腔室包括但不限於迴圈層沉積(CLD; Cyclical Layer Deposition)、原子層沉積(ALD; Atomic Layer Deposition)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP)、電漿氮化、脫氣、取向、羥化和其他基板製程。通過在群集工具上的腔室中執行製程,可避免具有大氣雜質的基板的表面污染,而無需在沉積後續薄膜之前氧化。
根據一個或多個實施方式,基板持續在真空或者說是「裝載閘」狀況下,並且當從一個腔室移動到下一腔室時不暴露於環境空氣。傳送腔室因此在真空下並在真空壓力下被「抽氣」。惰性氣體可以存在於處理腔室或傳送腔室中。在一些實施方式中,惰性氣體用作淨化氣體以將一些或所有的反應物去除。根據一個或多個實施方式,在沉積腔室的出口處佈植淨化氣體以防止反應物從沉積腔室移動到傳送腔室和/或另外的處理腔室。由此,惰性氣流在腔室出口處形成氣簾。
基板可以在單個基板沉積腔室中進行處理,在單個基板沉積腔室中,在另一基板進行處理前裝載、處理和卸載單個基板。基板也可類似於傳送機系統以連續方式進行處理,其中多個基板被分別地裝載到腔室的第一部分中,移動通過腔室,並且從腔室的第二部分卸載。腔室和相關聯的傳送機系統的形狀可以形成筆直路徑或彎曲路徑。另外,處理腔室也可以是旋轉料架(carousel),其中多個基板圍繞中心軸線移動並且在整個旋轉料架路徑中都暴露於沉積、蝕刻、退火、清潔等製程。
在處理製程期間,可加熱或冷卻基板。這種加熱或冷卻可通過任何合適的手段來完成,包括但不限於改變基板支撐件的溫度和使加熱氣體或冷卻氣體流向基板表面。在一些實施方式中,基板支撐件包括加熱器/冷卻器,所述加熱器/冷卻器可被控制從而以傳導方式改變基板溫度。在一個或多個實施方式中,所用氣體(反應氣體或惰性氣體)被加熱或冷卻以使基板溫度局部改變。在一些實施方式中,加熱器/冷卻器鄰近基板表面定位在腔室內,從而以對流方式改變基板溫度。
基板也可在處理期間靜止或旋轉。旋轉的基板可連續地或以分立步驟進行旋轉。例如,基板可以在整個製程中一直旋轉,或基板可在暴露於不同的反應氣體或淨化氣體的操作之間小幅度地旋轉。在處理期間旋轉基板(連續地或逐步地)可有助於通過使例如氣流幾何形狀的局部變化的效應最小化來產生更均勻的沉積或蝕刻。
在本說明書全文中提到「一個實施方式」、「某些實施方式」、「一個或多個實施方式」或「實施方式」表示,結合實施方式描述的特定特徵、結構、材料或特性包括在本揭示內容的至少一個實施方式中。因此,本說明書全文各處出現短語諸如「在一個或多個實施方式中」、「在某些實施方式中」、「在一個實施方式中」或「在實施方式中」不一定指本揭示內容的同一實施方式。此外,特定特徵、結構、材料或特性可以任何合適的方式結合在一個或多個實施方式中。
雖然本揭示內容在本文中已經參考特定實施方式來進行描述,但應理解,這些實施方式僅說明瞭本揭示內容的原理和應用。本領域的技術人員將會清楚,在不背離本揭示內容的精神和範圍的情況下,可對本揭示內容的方法和設備做出各種修改和變化。因此,本揭示內容將旨在包括在所附的申請專利範圍和其等效物的範圍內的修改和變化。
100‧‧‧基板110‧‧‧特徵112‧‧‧底部114‧‧‧第一側壁116‧‧‧第二側壁120‧‧‧頂表面130‧‧‧薄膜132‧‧‧第一表面134‧‧‧第二表面140‧‧‧薄膜141‧‧‧頂部145‧‧‧凹入薄膜150‧‧‧鎢薄膜151‧‧‧頂部155‧‧‧鎢支柱156‧‧‧頂部D‧‧‧深度W‧‧‧寬度
以使得可詳細理解本揭示內容的上述記載的特徵的方式,可以通過參考實施方式對在上文簡要概述的本揭示內容作更特定的描述,實施方式中的一些示出在附圖中。然而,需要注意的是,附圖僅示出了本揭示內容的典型實施方式,並且因此不被視為限制本揭示內容的範圍,因為本揭示內容可允許其他等效實施方式。
圖1示出根據本揭示內容的一個或多個實施方式的基板特徵的剖視圖;和
圖2A至2E示出根據本揭示內容的一個或多個實施方式的間隙填充製程的示意性剖視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100‧‧‧基板
120‧‧‧頂表面
130‧‧‧薄膜
155‧‧‧鎢支柱
156‧‧‧頂部

Claims (14)

  1. 一種處理方法,包括:向一基板表面提供一圖案化薄膜,該圖案化薄膜形成至少一個特徵,該至少一個特徵從該圖案化薄膜的一頂表面向一底表面延伸一深度,該至少一個特徵具有由一第一側壁和一第二側壁界定的一寬度;在範圍為約-100℃至約50℃之一溫度下,在該圖案化薄膜上沉積一非晶矽薄膜以填充該至少一個特徵並在該圖案化薄膜的該頂表面上方延伸,其中沉積該非晶矽薄膜包括:將該基板表面暴露於包含甲矽烷、乙矽烷、丙矽烷或丁矽烷中的一或多者之一前驅物;使該非晶矽薄膜凹入來使該非晶矽薄膜的一頂部降低到等於或低於該圖案化薄膜的該頂表面之一高度,以形成一凹入非晶矽薄膜;將該凹入非晶矽薄膜轉化為一鎢薄膜;和使該鎢薄膜膨脹以形成從該至少一個特徵延伸的支柱。
  2. 如請求項1所述的方法,其中沉積該薄膜在範圍為約1T至約10T的壓力下進行。
  3. 如請求項2所述的方法,其中用一RF電漿沉積該薄膜,該RF電漿具有範圍為約10W至約200W的功率。
  4. 如請求項3所述的方法,其中沉積該薄膜無需該前驅物的一共反應物。
  5. 如請求項1所述的方法,其中使該非晶矽薄膜凹入包括:蝕刻該非晶矽薄膜。
  6. 如請求項5所述的方法,其中蝕刻該非晶矽薄膜包括:使用一溴基蝕刻劑的一反應離子蝕刻製程。
  7. 如請求項5所述的方法,其中使該非晶矽薄膜凹入包括:將該非晶矽薄膜暴露於一氫電漿或氫自由基。
  8. 如請求項1所述的方法,其中將該凹入薄膜轉化為一鎢薄膜包括:將該凹入薄膜暴露於WF6
  9. 如請求項8所述的方法,其中暴露於WF6在範圍為約300℃至約550℃的溫度和範圍為約10T至約100T的壓力下進行。
  10. 如請求項9所述的方法,其中大體上整個該凹入非晶矽薄膜都轉化為鎢。
  11. 如請求項1所述的方法,其中使該鎢薄膜膨脹包括:使該鎢薄膜氧化。
  12. 如請求項11所述的方法,其中該支柱從該特徵大體上筆直地向上延伸。
  13. 一種處理方法,包括: 向一基板表面提供一圖案化薄膜,該圖案化薄膜形成至少一個特徵,該至少一個特徵從一頂表面向一底表面延伸一深度,該至少一個特徵具有由一第一側壁和一第二側壁界定的一寬度;將該基板表面暴露於包含甲矽烷、乙矽烷、丙矽烷或丁矽烷中的一或多者之一矽前驅物,以藉由熱解在該基板表面上沉積一非晶矽薄膜,以填充該至少一個特徵並在該基板表面上方延伸;用一氫電漿或氫自由基蝕刻該非晶矽薄膜,使該非晶矽薄膜凹入來使該薄膜的一頂部降低到等於或低於該圖案化薄膜的該頂表面之一高度,以形成一凹入非晶矽薄膜;將該凹入非晶矽薄膜暴露於鎢前驅物來與該凹入非晶矽薄膜反應,以將大體上整個該凹入非晶矽薄膜都轉化為一鎢薄膜;和使該鎢薄膜氧化來使該鎢薄膜膨脹以形成從該至少一個特徵大體上筆直地向上延伸的鎢支柱。
  14. 一種處理方法,包括:向一基板表面提供一圖案化薄膜,該圖案化薄膜形成至少一個特徵,該至少一個特徵從一頂表面向一底表面延伸一深度,該至少一個特徵具有由一第一側壁和一第二側壁界定的一寬度; 將該基板表面暴露於包含甲矽烷、乙矽烷、丙矽烷或丁矽烷中的一或多者之一矽前驅物,以藉由熱解在該基板表面上沉積一非晶矽薄膜,以填充該至少一個特徵並在該圖案化薄膜的該頂表面上方延伸,該熱解無需矽共反應物,並且在不用電漿的情況下在範圍為約300℃至約550℃的溫度下進行,或在用電漿的情況下在範圍為約-100℃至約50℃的溫度下進行;用一氫電漿或氫自由基蝕刻該非晶矽薄膜,使該非晶矽薄膜凹入來使該薄膜的一頂部降低到等於或低於該圖案化薄膜的該頂表面之一高度,以形成一凹入非晶矽薄膜;在範圍為約300℃至約550℃的一溫度下將該凹入非晶矽薄膜暴露於包含WF6之一鎢前驅物,以與該凹入非晶矽薄膜反應,以將大體上整個該非晶矽薄膜都轉化為一鎢薄膜;和使該鎢薄膜氧化來使該鎢薄膜膨脹,以形成從該至少一個特徵大體上筆直地向上延伸的鎢支柱。
TW107114805A 2017-05-02 2018-05-02 形成鎢支柱的方法 TWI757478B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762500213P 2017-05-02 2017-05-02
US62/500,213 2017-05-02

Publications (2)

Publication Number Publication Date
TW201843708A TW201843708A (zh) 2018-12-16
TWI757478B true TWI757478B (zh) 2022-03-11

Family

ID=64014928

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110135272A TWI780922B (zh) 2017-05-02 2018-05-02 形成鎢支柱的方法
TW107114805A TWI757478B (zh) 2017-05-02 2018-05-02 形成鎢支柱的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110135272A TWI780922B (zh) 2017-05-02 2018-05-02 形成鎢支柱的方法

Country Status (4)

Country Link
US (2) US10784107B2 (zh)
JP (2) JP2018199863A (zh)
CN (2) CN108807264B (zh)
TW (2) TWI780922B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US6040242A (en) * 1996-02-28 2000-03-21 Nec Corporation Method of manufacturing a contact plug
TW457684B (en) * 2000-10-11 2001-10-01 Promos Technologies Inc Manufacturing method of tungsten plug
US20020037644A1 (en) * 1998-11-24 2002-03-28 Sa Kyun Rha Method for forming tungsten bit line and devices including the same
US20060024866A1 (en) * 2004-07-27 2006-02-02 Feng-Yuan Gan Thin film transistor and method for fabricating same
US20120299072A1 (en) * 2011-05-24 2012-11-29 Wan-Don Kim Semiconductor device having metal plug and method of forming the same

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62298110A (ja) * 1986-06-18 1987-12-25 Matsushita Electric Ind Co Ltd 半導体集積回路の製造方法
JPH0290518A (ja) * 1988-09-28 1990-03-30 Hitachi Ltd 半導体装置及びその製造方法
JPH06112155A (ja) * 1992-09-25 1994-04-22 Matsushita Electron Corp コンタクトプラグ形成方法
JPH0794491A (ja) * 1993-09-22 1995-04-07 Hiroshi Nagayoshi ドライエッチング方法およびドライエッチング処理装置
JP3014019B2 (ja) * 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
JPH0837145A (ja) * 1994-07-26 1996-02-06 Hitachi Ltd 半導体集積回路装置の製造方法
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US6383951B1 (en) * 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
TW406370B (en) * 1998-12-19 2000-09-21 Vanguard Int Semiconduct Corp Process of PECVD tungsten and tungsten compound deposition
US6319832B1 (en) * 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
KR100351238B1 (ko) * 1999-09-14 2002-09-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
WO2002080244A2 (en) * 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20020197852A1 (en) * 2001-06-21 2002-12-26 Ming-Shi Yeh Method of fabricating a barrier layer with high tensile strength
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
FR2851258B1 (fr) * 2003-02-17 2007-03-30 Commissariat Energie Atomique Procede de revetement d'une surface, fabrication d'interconnexion en microelectronique utilisant ce procede, et circuits integres
KR100680946B1 (ko) * 2004-04-28 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US6987961B1 (en) * 2004-06-28 2006-01-17 Neomagic Corp. Ethernet emulation using a shared mailbox between two processors in a feature phone
US8288828B2 (en) * 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
KR100705397B1 (ko) * 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
US8168538B2 (en) * 2009-05-26 2012-05-01 Macronix International Co., Ltd. Buried silicide structure and method for making
US8575753B2 (en) 2009-05-27 2013-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a conductive structure including oxide and non oxide portions
US8535760B2 (en) * 2009-09-11 2013-09-17 Air Products And Chemicals, Inc. Additives to silane for thin film silicon photovoltaic devices
JP5511308B2 (ja) * 2009-10-26 2014-06-04 三菱電機株式会社 半導体装置およびその製造方法
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US8048782B1 (en) * 2010-08-12 2011-11-01 Ovshinsky Innovation Llc Plasma deposition of amorphous semiconductors at microwave frequencies
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US20140273436A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. Methods of forming barrier layers for conductive copper structures
JP6297884B2 (ja) * 2014-03-28 2018-03-20 東京エレクトロン株式会社 タングステン膜の成膜方法
CN105336662B (zh) * 2014-05-29 2018-06-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9716097B2 (en) * 2015-01-14 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques to avoid or limit implant punch through in split gate flash memory devices
JP6078604B2 (ja) * 2015-09-24 2017-02-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびガス供給系
US9484251B1 (en) * 2015-10-30 2016-11-01 Lam Research Corporation Contact integration for reduced interface and series contact resistance
WO2018089351A1 (en) 2016-11-08 2018-05-17 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US6040242A (en) * 1996-02-28 2000-03-21 Nec Corporation Method of manufacturing a contact plug
US20020037644A1 (en) * 1998-11-24 2002-03-28 Sa Kyun Rha Method for forming tungsten bit line and devices including the same
TW457684B (en) * 2000-10-11 2001-10-01 Promos Technologies Inc Manufacturing method of tungsten plug
US20060024866A1 (en) * 2004-07-27 2006-02-02 Feng-Yuan Gan Thin film transistor and method for fabricating same
US20120299072A1 (en) * 2011-05-24 2012-11-29 Wan-Don Kim Semiconductor device having metal plug and method of forming the same

Also Published As

Publication number Publication date
CN108807264A (zh) 2018-11-13
JP2023029868A (ja) 2023-03-07
JP2018199863A (ja) 2018-12-20
TW202207278A (zh) 2022-02-16
TWI780922B (zh) 2022-10-11
TW201843708A (zh) 2018-12-16
US10784107B2 (en) 2020-09-22
KR20180122297A (ko) 2018-11-12
CN116978862A (zh) 2023-10-31
US20210013038A1 (en) 2021-01-14
US20180323068A1 (en) 2018-11-08
CN108807264B (zh) 2023-09-12

Similar Documents

Publication Publication Date Title
TWI680535B (zh) 金屬及含金屬化合物之氧化體積膨脹
TWI719262B (zh) 用於圖案化之薄膜的沉積與處理
CN110476239B (zh) 使用反应性退火的间隙填充
JP2019534573A (ja) 自己整合パターニングのための方法
JP2020501344A (ja) パターニング用途のためのボトムアップ柱状体の形状制御
TW201812999A (zh) 使用沉積/蝕刻技術之無接縫溝道填充
TWI760481B (zh) 用於氧化鎢柱形成之簡化製程流程的鎢選擇性沉積
JP7305622B2 (ja) シリサイド化による金属含有膜の体積膨張
JP7221279B2 (ja) 亜酸化物からの自己整合構造
TWI757478B (zh) 形成鎢支柱的方法
CN110678972A (zh) 降低字线电阻的方法
KR102710607B1 (ko) 텅스텐 필러들을 형성하는 방법