TW202309974A - 高深寬比3d nand架構中的鎢字元線填充 - Google Patents

高深寬比3d nand架構中的鎢字元線填充 Download PDF

Info

Publication number
TW202309974A
TW202309974A TW111118471A TW111118471A TW202309974A TW 202309974 A TW202309974 A TW 202309974A TW 111118471 A TW111118471 A TW 111118471A TW 111118471 A TW111118471 A TW 111118471A TW 202309974 A TW202309974 A TW 202309974A
Authority
TW
Taiwan
Prior art keywords
gas
tungsten
chamber
process gas
layer
Prior art date
Application number
TW111118471A
Other languages
English (en)
Inventor
瑞敏 陳
羅伯特 麥金尼
拉维 為朗基
勞倫斯 施洛斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202309974A publication Critical patent/TW202309974A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

使用鎢填充3D NAND結構之字元線特徵部的方法包括使用三氟化氮(NF 3)處理保形鎢。相對於字元線特徵部的內部,對於字元線特徵部之開口的NF 3處理優先。該處理蝕刻鎢並抑制受處理表面上後續的沉積。後續的沉積對字元線特徵部的內部有選擇性而允許非保形的、由內向外的沉積。可從與鎢沉積氣體隔離的氣體區輸送NF 3。可從填充容積中輸送NF 3以促進3D NAND結構之由上至下的均勻處理。用於填充字元線特徵部的設備包括分隔的氣體區。

Description

高深寬比3D NAND架構中的鎢字元線填充
本揭示內容關於半導體處理的設備和方法,尤其是關於高深寬比3D NAND架構中鎢字元線填充的設備和方法。
包括含鎢材料之材料的沉積係許多半導體製造處理之不可或缺的部分。這些材料可用於水平內連線、相鄰金屬層之間的貫孔、及金屬層與裝置之間的接觸。隨著裝置縮小及產業中使用更複雜的圖案化方案,鎢膜的沉積成為挑戰。特徵部尺寸及膜厚的持續減少帶來諸多挑戰,包括較薄膜之高電阻率及難以獲得特徵部中的無孔隙填充。在例如3D NAND結構的複雜高深寬比結構中之沉積特別具挑戰性。
本文所提供之先前技術說明係為了大體上呈現所揭示內容之脈絡。在此先前技術章節中所敘述之範圍內的本案列名之發明人的成果、以及在申請時可能不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本揭示內容之先前技術。
本揭示內容的一實施態樣係關於半導體處理設備,半導體處理設備包括第一噴淋頭;具有第一入口、第二入口、流體連接至第一噴淋頭之出口的雙入口腔室;第一氣體區;及第二氣體區。第一氣體區包括第一處理氣體歧管,第一處理氣體歧管具有:一或更多第一處理氣體填充容積、流體連接至一或更多第一處理氣體填充容積的第一分流閥、及流體連接至第一分流處理氣體閥的第一注入處理氣體閥,其中第一處理氣體歧管係配置以經由一或更多第一處理氣體填充容積流體連接至一或更多第一處理氣體源;且第一處理氣體歧管係經由第一注入處理氣體閥流體連接至雙入口腔室的第一入口。第二氣體區包括第二處理氣體歧管,第二處理氣體歧管具有:一或更多第二處理氣體填充容積、流體連接至一或更多第二處理氣體填充容積的第二分流閥、及流體連接至第二分流處理氣體閥的第二注入處理氣體閥,其中第二處理氣體歧管係配置以經由一或更多第二處理氣體填充容積流體連接至一或更多第二處理氣體源;且第二處理氣體歧管係經由第二注入處理氣體閥流體連接至雙入口腔室的第二入口,其中於雙入口腔室的上游第一氣體區係與第二氣體區分隔。
在半導體處理設備的某些實施方式中,半導體處理設備可包括經由第一分流處理氣體閥流體連接至第一處理氣體歧管以及經由第二分流處理氣體閥流體連接至第二處理氣體歧管的分流歧管。
在半導體處理設備的某些實施方式中,半導體處理設備可包括具有具第一噴淋頭之第一站以及每一者具有噴淋頭之一或更多額外站的多站腔室。
在半導體處理設備的某些實施方式中,多站腔室中的至少一站流體連通至不超過一氣體區。
在半導體處理設備的某些實施方式中,雙入口腔室包括圍繞連接至出口之主要管線的環狀空間。
在半導體處理設備的某些實施方式中,第二入口係位於環狀空間的側邊。
本揭示內容的另一實施態樣係關於一方法,該方法包括:提供部分製造半導體基板的3D結構至具有不超過100托之腔室壓的腔室,3D結構包括側壁、通往複數特徵部的側壁中之複數開口,複數特徵部具有由該腔室通過該些開口而流體可達的複數內部區域;於3D結構內沉積第一層的鎢使得該第一層鋪襯3D結構的複數特徵部;以及非保形地處理該第一層使得相對於複數內部區域處理係優先施加於該第一層靠近複數開口的部分;以及於3D結構內該第一層上沉積第二層的鎢使得該第二層至少部分地填充3D結構的複數內部區域;其中非保形地處理該第一層包括填充含三氟化氮(NF 3)之氣體至至少10托的第一填充壓力並將氣體流動至腔室。
在某些實施例中,該處理抑制鎢沉積。
在某些實施例中,沉積鎢層包括使用六氟化鎢(WF 6)及氫(H 2)的原子層沉積。
在某些實施例中,沉積鎢層包括經由噴淋頭輸送鎢前驅物及氫的脈衝至腔室。
在某些實施例中,沉積鎢包括經由雙入口腔室輸送鎢前驅物及氫至噴淋頭。
在某些實施例中,於雙入口腔室的第一入口處注入鎢前驅物及氫。
在某些實施例中,於雙入口腔室的第二入口處注入含NF 3之氣體。
在某些實施例中,當於雙入口腔室的第二入口處注入NF 3時在雙入口腔室的第一入口中注入惰性氣體。
在某些實施例中,經由第一氣體歧管供應鎢前驅物及氫氣並經由第二氣體歧管供應NF 3
在某些實施例中,該方法進一步包括於3D結構內沉積成核層使得成核層鋪襯3D結構的複數特徵部。
在某些實施例中,沉積成核層係於腔室中的第一站進行,且第一層鎢之沉積、該處理、及第二層鎢之沉積係於腔室中的第二站進行。
以下參考圖式描述本揭示內容的此些和其他實施態樣。
在以下說明內容中,提出眾多具體細節以提供對所呈現實施例的透徹理解。所揭示的實施例可不具有某些或全部這些具體細節而加以實施。在其他方面,為了不對所揭示實施例造成不必要地混淆而沒有詳細描述眾所周知的製程操作。當結合特定實施例來描述所揭示實施例時,將理解其並非意圖限制所揭示的實施例。
本文提供使用鎢(W)填充特徵部的方法。本文所述方法可用於填充例如鎢貫孔中的垂直特徵部,以及例如3D NAND字元線的水平特徵部。
本文所述方法係在可容納於腔室中的基板上執行。基板可為矽或其他半導體晶圓,例如200-mm晶圓、300-mm晶圓、或450-mm晶圓,包括具有一或多層材料沉積於其上的晶圓,材料例如為介電質、導電、或半導電材料。方法並不受限於半導體基板而係可執行以使用鎢填充任何特徵部。
基板可具有例如貫孔或接觸孔的特徵部,特徵部之特徵可為一或更多狹窄及/或內凹的開口、特徵部內的收縮部、以及高深寬比。特徵部可形成在一或更多的上述層中。例如,特徵部可至少部分地形成在介電層中。在某些實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約25:1、或更高的深寬比。特徵部的一範例為半導體基板中或基板上之層中的孔洞或貫孔。
在某些實施例中,將該些方法用於3D NAND結構中的字元線填充。圖1A呈現具有VNAND堆疊(左125及右126)、中央垂直結構130、以及在中央垂直結構130之相對側壁140上有開口122的複數堆疊水平特徵部120之3D NAND結構110(形成於矽基板102上)的剖面側視圖。請留意圖1A顯示所陳列之3D NAND結構110的兩堆疊,該兩堆疊在一起形成溝槽狀中央垂直結構130。可存在多於二的如此堆疊序列地排列並在空間上彼此平行延伸而每對相鄰堆疊之間的間隙形成中央垂直結構130,如同圖1A中繪示的那樣。水平特徵部120為從中央垂直結構130經過開口122流體可達的3D記憶體字元線特徵部。位於圖1A中所示的3D NAND堆疊125及126(即,左3D NAND堆疊125及右3D NAND堆疊126)兩者中的水平特徵部120從堆疊的另一側(分別為最左和最右)經過由額外的3D NAND堆疊形成的相似垂直結構(至所述最左和最右,但未顯示)亦可達。換言之,每一3D NAND堆疊125及126包含從3D NAND堆疊之兩側經過中央垂直結構130流體可達的字元線特徵部之堆疊。在圖1A中示意性繪示的特定範例中,每一3D NAND堆疊包含6對堆疊字元線,然而,在其他實施例中,3D NAND記憶體布局可包含任意數量的垂直堆疊成對之字元線。
可藉由沉積交替堆疊的氧化矽及氮化矽層而形成3D NAND堆疊中的字元線特徵部,而後選擇性地移除氮化物層而留下彼此之間具有間隙的氧化物層。這些間隙為字元線特徵部。在如此3D NAND堆疊中可垂直堆疊任意數量的字元線,只要有用於形成該些字元線的可用技術以及用以成功完成(實質上)垂直特徵部之無孔隙填充的可用技術。從而,例如,3D NAND堆疊可包括2和256之間的水平字元線特徵部、或8和128之間的水平字元線特徵部、或16和64之間的水平字元線特徵部等等(所列範圍可理解為包括所列舉的端點)。
圖1B呈現與圖1A之側視圖中所示相同的3D NAND結構110的剖面俯視圖並具有經過由圖1A中水平虛線標示的水平截面160所截取之橫截面。圖1B的橫截面繪示數排的柱155,柱155從半導體基板102的基部垂直延伸至3D NAND結構110的頂部。在某些實施例中,這些柱155係從多晶矽材料形成。多晶矽柱可用作為形成在柱中之堆疊記憶體單元的閘電極。圖1B的俯視圖繪示柱155形成開口122至字元線特徵部120中的收斂-即,從中央垂直結構130經過開口122的字元線特徵部120之流體可達性(如同圖1B中箭頭所標示的)受到柱155抑制。此流體可達性的減少乃增加用材料均勻填充字元線特徵部120的難度。在圖1C、1D、及1E中進一步繪示字元線特徵部120之結構以及起因於柱155之存在的用鎢材料均勻填充字元線特徵部120的挑戰。
圖1C顯現穿過相似於圖1A中所示之3D NAND結構的垂直切面,但此處聚焦於單對的字元線特徵部120。圖1C亦示意性地繪示填充後字元線特徵部120中的孔隙175。圖1D亦示意性地繪示孔隙175,但在此圖中係經由穿過柱155的水平切面繪示,與圖1B中顯現的水平切面相似。圖1E繪示於收斂形成柱155周圍的鎢材料之堆積,該堆積導致開口122的夾斷,使得在孔隙175的區域中無法沉積額外的鎢材料。從圖1C及1D中顯見無孔隙鎢填充仰賴足量的沉積前驅物在柱155周圍的鎢之堆積沉積而造成開口122之夾斷並防止更多前驅物遷移進入字元線特徵部120之前,向下遷移經過垂直結構130、經過開口122、通過收斂柱155、並進入最遠範圍的字元線特徵部120。相似地,圖1E顯現從上方剖面檢視的單一字元線特徵部120,並繪示由於柱155之顯著寬度產生部分阻擋、及/或變窄、及/或限制本為經過字元線特徵部120之開放路徑的作用,因而鎢材料之通常保形沉積如何開始夾斷字元線特徵部120的內部。(應注意的是,圖1E中的範例可理解為圖1D中所示的柱收斂之結構的3D特徵部的2D版本,因而繪示在平面圖中而非在剖面圖中可見的收斂)。
填充三維結構可對於前驅物使用更長及/或更集中的曝露以允許填充最裡和最底的區域。
以下描述針對水平定向及垂直定向特徵部的特徵部填充之範例。應留意的是至少在大多案例中,該些範例係適用於水平定向及垂直定向特徵部兩者。此外,亦應留意的是在以下說明內容中,術語「垂直」可用於指稱通常與基板之平面正交的方向而術語「橫向」指稱通常與基板之平面平行的方向。
圖2為繪示依據諸多實施例使用鎢填充結構之操作的製程圖式。首先,於操作202中在結構中沉積鎢(W)膜。可將此操作稱為Dep1。在許多實施例中,操作202係鋪襯結構之曝露表面的通常保形沉積。舉例而言,在例如圖1A中所示的3D NAND結構中,W膜鋪襯字元線特徵部120。依據諸多實施例,使用ALD製程沉積W膜以達成良好的保形性。以下給出W之進一步沉積的ALD製程。於操作202後,特徵部沒有以W封閉,而是充分地開口以允許進一步的反應氣體於後續沉積中進入特徵部。
接著,於操作204中,使用三氟化氮(NF 3)非保形地處理所沉積的鎢膜。在此情況下非保形處理係指相較於特徵部內部處理係優先施加於特徵部的一或多開口處及其附近。針對3D NAND結構,該處理在垂直方向上可為保形的而使得底部字元線特徵部係被處理至與頂部字元線特徵部接近相同的程度,而非保形的原因係在於字元線特徵部之內部沒有曝露至該處理或曝露程度顯著地小於特徵部開口。
在某些實施例中,NF 3處理同時抑制鎢成核及蝕刻所沉積的鎢。成核抑制乃抑制於受處理表面處的後續鎢成核。該處理可涉及一或更多的:抑制膜之沉積、處理物質與W膜形成複合膜的反應、及抑制物質的吸附。於後續沉積操作期間,在下方膜的受抑制部分上相對於未受抑制或較少抑制部分存在成核延遲。蝕刻移除於受處理表面處的所沉積之膜。此舉可涉及蝕刻劑物質與鎢膜反應以形成隨後被移除的氣態副產物。
可將例如氨(NH 3)的其他氣體用於熱抑制製程。然而,使用NF 3提供優於其他處理的優勢。一優勢為NF 3同時抑制鎢成核以及從受處理表面蝕刻所沉積的鎢。氮作用為抑制物質而氟作用為蝕刻劑。為了執行單純抑制處理,操作204可涉及將W膜曝露至不含氟或其他鹵素的含氮化學。為了執行單純蝕刻處理,操作204可涉及將W膜曝露至不含氮的含鹵素化學。使用含氮及含鹵素化學的NF 3處理W膜乃抑制W成核並蝕刻W膜。此外,如以下進一步討論的,NF 3允許在具有單一加壓噴淋頭的相同站點中執行抑制及沉積操作。
在某些實施例中,處理氣體於導入腔室前係加壓至顯著高於腔室壓力的位準。此舉有利於氣體到達垂直結構的最底部。在NF 3氣體的範例中,可於填充容積中加壓NF 3氣體至介於10托與1000托之間的壓力。在某些實施例中,壓力介於400托與500托之間。以下進一步討論填充容積。
如以下進一步討論的,操作204可為連續流動或脈衝製程。在後者的案例中,可序列地脈衝不同氣體以調諧處理。
於操作204後,在操作206中執行第二沉積。可使用ALD或CVD製程執行第二沉積。為了沉積進入3D NAND結構中,可使用ALD製程以允許整體結構的良好台階覆蓋。由於該處理的效果,氣體更容易到達特徵部內部。於蝕刻製程後,移除沉積於特徵部入口附近的膜,而允許用於氣體到達特徵部之內部的更多空間並防止夾斷。在某些實施例中,可移除足夠的W膜而使得下方表面完全地或部分地曝露,而增加於此些區域的成核延遲。於抑制製程後,成核延遲增加,而允許由內而外的填充製程。可稱為Dep2製程的操作206在某些實施例中可完成結構的填充。在某些實施例中,可執行一或更多額外的處理/沉積操作。
為了裁製字元線中的橫向非保形性,可調整壓力及處理氣體流速。較高的腔室壓力及較低的處理氣體流速(及/或濃度) 促使於字元線特徵部之開口處的處理超過字元線特徵部之內部中的處理。從而,在某些實施例中,可從操作202至204中降低腔室壓力。示例性的腔室壓力範圍從3托至40托。
依據諸多實施例,可在相同處理腔室中或在不同處理腔室中執行操作202、204、及206。若在相同腔室中執行,則可於單站或多站腔室中執行該些操作。在多站腔室中,可於諸多站執行諸多操作。例如,可在第一站執行操作202並在第二站執行操作204。在另一範例中,可在第一站執行操作202及操作206並在第二站執行操作204。在某些實施例中,當在單一腔室內之分開的站執行諸多操作時,一次僅可執行於結構中沉積W膜的單一操作,即操作202。在另一實施例中,當正在處理多基板時,可同時發生諸多操作。例如,在相同的多站腔室中,於站一的第一基板進行操作202而於站二的第二基板進行操作204。操作202及操作204兩者可於相同的多站腔室中同時進行。在某些實施例中,腔室壓力可低至防止任何交叉污染或安全問題。在一範例中,於操作202中,在站一中可使用含硼還原劑(例如,B 2H 6)於第一基板上沉積成核層。第二基板可在第二站中進行操作204。站一中B 2H 6的成核層沉積以及站二中NF 3的沉積兩者可在相同的多站腔室中同時發生。為達成此目的,腔室壓力係設定為較低壓,例如25托以下的壓力。
圖3及圖4分別繪示3D NAND結構之使用鎢處理的抑制及蝕刻效果的範例。圖3描繪氮處理的抑制效果而圖4描繪例如氟物質之鹵素物質的蝕刻效果。如以上所討論的,NF 3處理如同圖3中描繪的抑制鎢成核並如同圖4中描繪的蝕刻鎢膜。NF 3處理的抑制及蝕刻效果兩者皆可發生作為操作204的結果,但為了清楚起見,分別於不同圖式中顯示之。
圖3繪示執行以使用鎢填充3D NAND結構之製程的範例而包括抑制操作。在圖3中,顯示3D NAND結構之單一字元線的剖面圖。(如同在圖1E的範例中,圖3中的字元線特徵部顯示在平面圖中而非在剖面圖中可見的柱收斂以說明該收斂) 。
於370處,顯示於Dep1製程後的字元線特徵部。顯示下方層306;此層例如可為氮化鈦(TiN)、氮化鎢(WN)、或碳氮化鎢(WCN)阻障層。保形W膜305鋪襯包括下方層306之表面的特徵部表面。在某些實施例中,在例如氧化鋁或氧化矽表面的介電表面上直接沉積保形W膜305。W層305可為成核層、成核及本體層、或本體層。
接著,於371處特徵部係曝露至抑制化學以抑制部365。在此範例中,抑制穿過柱收斂351的部365而沒有抑制於352處的內部表面。從而,在圖3的範例中,抑制處理係橫向非保形的。然而,在垂直方向上之處理可為均勻的而使得每一字元線於大致相同的區域處受到抑制。
接著,執行製程以依照抑制輪廓選擇性地沉積W:於372處,在W層305的未受抑制部上優先沉積本體W308,使得收斂後難以填充之區域乃被填充。
在此範例中,本體沉積持續,於373處以本體W308填充特徵部的其餘部分。圖4繪示執行以使用鎢填充3D NAND結構之製程的範例而包括蝕刻。在圖4的範例中,顯示下方層406;此層例如可為阻障層。如同在圖3的範例中,保形W膜405鋪襯特徵部表面。在某些實施例中,在例如氧化鋁或氧化矽表面的介電表面上直接沉積保形W膜405。W層405可為成核層、成核及本體層、或本體層。
在此之後於471處為非保形蝕刻(使用高選擇性以保護若存在的下方層406)。例如,可針對TiN下方層執行具有高W:TiN選擇性的非保形蝕刻。作為非保形蝕刻的結果,保形W層405完整留在特徵部的內部452,而在特徵部開口422處變薄或完全被移除。如同於圖3中,在垂直方向上之蝕刻可為均勻的而使得每一字元線於相同區域處被蝕刻。
接著,於472處,在W層405的剩餘部分上沉積本體W408,使得收斂後難以填充之區域乃被填充。在此範例中,本體沉積持續,於473處以本體W408填充特徵部的其餘部分。在某些實施例中,可重複沉積-蝕刻-沉積操作以填充特徵部。依據諸多實施方式,每一後續沉積操作可包括或不包括成核層的沉積。在某些實施方式中,處理亦可包括抑制效果。
如以上所討論的,NF 3之處理抑制成核並蝕刻鎢膜。雖然分別地顯示圖3中之抑制及圖4中之蝕刻,但兩者於使用NF 3處理鎢膜時皆可發生。
在某些實施例中,Dep1係用於沉積成核層而Dep2用於沉積本體層。在某些實施例中,Dep1及Dep2各用於沉積本體W層,Dep1用於沉積保形本體層而Dep2用於填充圖3及圖4之範例中的特徵部。
在某些實施例中,保形W層之特徵可為低電阻率,以及在某些實施例中,為低應力及/或低氟。因為字元線特徵部未填充(除了若有沉積成核層之情況外),而可使用相對快速的沉積技術。在某些實施例中,此涉及例如六氟化鎢(WF 6)之含W前驅物、以及氫(H 2)或其他還原劑的交替脈衝以在ALD製程中沉積第一鎢層。淨化操作可分隔該些脈衝。可將相對短的脈衝時間用於沉積以增加生產量。
相較於第一層本體層,可使用第二組條件沉積在Dep2操作中沉積的第二本體層。如同第一本體層,第二本體層可為低電阻率層,以及在某些實施例中,為低應力及/或低氟層。在某些實施例中,操作206涉及相對於操作202增加的脈衝時間及增加的淨化時間。在特定實施例中,可增加含W前驅物脈衝時間。增加脈衝及/或淨化時間可促進反應物擴散進入字元線。在某些實施例中,從操作202至操作206亦可改變溫度;例如,可使用較高溫以加速反應時間。在某些實施例中,可使用較低溫以允許反應物在反應之前擴散進入字元線特徵部。在某些實施例中,第二組條件可包括流速變化。例如,可增加含W前驅物及/或還原劑的流速。
在某些實施例中,可以不同條件沉積第三本體W層。此層之特徵可為於後續步驟中被移除的覆蓋層並可沉積於例如圖1A之3D NAND結構中之側壁140的側壁上。此層之特徵可為低粗糙度。因為該鎢係待被移除的故可容忍較高電阻率及/或氟濃度。第三組條件可涉及下列任一者:若使用ALD且相較於第二主體W層之沉積期間採用較短脈衝時間下的較快時序、使用CVD取代ALD、以及在一或更多反應氣體的流動期間或之間導入氮(N 2)。
在以上範例中,使用NF 3作為處理氣體。在其他實施例中,可使用其他氣體,例如其他氮及含鹵素氣體或氣體混合物。在某些實施例中,可存在於NF 3或其他抑制及/或蝕刻處理之後執行的表面形態處理。此參考圖5進一步討論之。
在圖5中,於操作502中,表面係曝露至含鹵素及/或含氮化學。於操作502中,氮為抑制化學;若適當的話除了氮以外或取代氮可使用其他抑制化學。含氟及含氯化學係用於蝕刻。操作502可為連續流動或脈衝操作並可為電漿或熱、非電漿操作。亦可施加其他活化能。
用於抑制的示例性含氮氣體包括NF 3、NH 3、氮(N 2)、及肼(N 2H 4)。
用於蝕刻的示例性含鹵素氣體包括NF 3、F 2、氟化氫(HF)、氯(Cl 2)、三氟化氯(ClF 3)、及其他含氯或含氟氣體。在沒有還原劑與之反應的情況下,此些含鹵素氣體將蝕刻膜。
接著在操作504中,可存在使用非鹵素氣體之淨化。可使用例如氬氣(Ar)或氦氣(He)的惰性氣體。亦可使用N 2。淨化係非電漿製程而可移除表面氯或氟物質。在某些實施例中(例如,在該實施例中於操作502中基板沒有曝露至氯或氟物質),可省略操作504。
接著,於操作506中,可將表面曝露至表面形態處理氣體。已發現抑制處理可能導致「粗糙」表面而可能對於在Dep2中沉積之膜的品質有不利影響。表面形態處理可為鎢前驅物、還原劑(例如,H 2)、或兩者的脈衝或連續流動。
在某些實施例中,重複操作502至506一或更多次。例如,可將每一操作執行為脈衝之多循環序列中的一脈衝。在替代的實施例中,可將操作502執行為脈衝之多循環而僅於多循環完成時執行操作504及506中的一或兩者。在某些實施例中,操作504及506的順序可顛倒。
所述方法涉及將含鎢前驅物(亦稱之為鎢前驅物)與還原劑反應以形成元素鎢膜。
包括但不限於六氟化鎢(WF 6)、六氯化鎢(WCl 6)、及六羰鎢(W(CO) 6)的諸多含鎢氣體可用作為含鎢前驅物。在某些實施方式中,含鎢前驅物為含鹵素化合物,例如WF 6。在某些實施方式中,還原劑為氫氣,但可使用其他還原劑,包括矽烷(SiH 4)、乙矽烷(Si 2H 6)、肼(N 2H 4)、乙硼烷(B 2H 6)及鍺烷 (GeH 4)。在許多實施方式中,氫氣係用作為本體鎢膜之沉積中的還原劑。在某些其他實施方式中,可分解以形成本體鎢層的鎢前驅物可在沒有還原劑的情況下使用。
可依據諸多實施方式進行沉積直到達成特定特徵部輪廓及/或沉積特定量的鎢。在某些實施方式中,可藉由建模及/或試誤來判定沉積時間及其他相關參數。例如,針對其中可於特徵部中保形沉積鎢直到夾斷為止的由內而外填充製程之初始沉積,可基於特徵部尺寸直接判定將達到夾斷的鎢厚度及相應沉積時間。在某些實施方式中,處理腔室可配有諸多感測器以執行針對沉積操作之端點偵測的現場計量測量。現場計量的範例包括用於判定所沉積膜之厚度的光學計量及X光螢光(XRF)。
應理解本文所述的鎢膜可取決於所使用的特定前驅物及製程而包括些許量的其他化合物、摻雜劑及/或雜質,例如氮、碳、氧、硼、磷、硫、矽、鍺及其類似者。膜中鎢含量可為從20%至100%(原子)鎢之範圍。在許多實施方式中,膜係富含鎢的而具有至少50%(原子)鎢、或甚至至少約60%、75%、90%、或99%(原子)鎢。在某些實施方式中,膜可為金屬或元素鎢(W)與其他含鎢化合物的混合物,其他含鎢化合物例如碳化鎢(WC)、氮化鎢(WN)等等。此些材料的CVD及ALD沉積可包括使用任何適當的前驅物。例如,氮化鎢的CVD及ALD沉積可包括使用如以下進一步描述的含鹵素及無鹵素的含鎢及含氮化合物。
如上所述,NF 3處理具有橫向非保形性但由上至下的均勻性。
在某些實施例中,可使用填充容積遞送氣體以達成橫向非保形性但具有由上至下的均勻性。使用填充容積可實現遞送處理氣體至高深寬比結構的底部,例如3D NAND結構的底部字元線。加壓氣體從填充容積流動經過噴淋頭並到達基板。
圖6中示意地顯示示例性設備,其中氣體源係連接至填充容積。在某些實施例中,可將一或更多氣體源連接至多個填充容積。設備包括提供管線填充至諸多氣體分配管線的氣體歧管系統。歧管經由帶閥填充容積提供處理氣體及淨化氣體至沉積腔室。開啟或關閉諸多閥以提供管線填充,即,加壓分配管線。
圖6描繪顯示可如何經由噴淋頭602提供處理氣體至晶圓處理腔室(未顯示)的示意圖。示意圖中所示的為經過雙入口腔室604流體連接至噴淋頭602的兩氣體區。在以下描述的範例中,第一氣體區606包括沉積及淨化氣體。第二氣體區608包括與沉積氣體化學不相容的壓力氣體及抑制氣體。在其他實施例中,氣體區可用於分別供應化學不相容氣體至噴淋頭602。
在所述範例中,沉積氣體包括例如六氟化鎢(WF 6)及氫(H 2)的金屬前驅物氣體。以下提供金屬前驅物氣體的範例。淨化氣體可為氬(Ar)或其他化學惰性氣體。抑制氣體可為三氟化氮(NF 3),其可用於抑制所沉積金屬上的成核。H 2與NF 3係化學不相容的因為它們會爆發性地反應。以下提供可在第二氣體區中供應的抑制氣體以及其他氣體的其他範例。
噴淋頭602分配氣體至腔室(未顯示)。流體地介於噴淋頭602及兩氣體區之間的是雙入口腔室604。雙入口腔室604係流體連接至第一氣體區606及第二氣體區608。雙入口腔室604具有第一入口626及第二入口628。各氣體區連接至雙入口腔室604之兩入口的其中之一。在圖6所示的範例中,第一氣體區606連接至第一入口626且第二氣體區608連接至雙入口腔室604的第二入口628。
在某些實施例中,雙入口腔室604可用於分別從各氣體區流動氣體至噴淋頭。來自各氣體區的個別氣體可在雙入口腔室604中混合。雙入口腔室604可用於在氣體混合物經由噴淋頭602流動至腔室之前混合來自第一氣體區606及第二氣體區608的氣體。然而,在其中氣流包括化學不相容氣體的情況下可能要避免此混合。
在某些實施例中,雙入口腔室604包括環狀空間。以下提供雙入口腔室604的進一步細節。
在圖6的範例中,第二氣體區608包括抑制氣體源616E及抑制氣體歧管612。抑制氣體歧管612係流體地介於抑制氣體源616E與雙入口腔室604之間。抑制氣體源616E供應抑制氣體至抑制氣體歧管612。
抑制氣體歧管612包括注入閥618E、分流氣體閥620E、及填充容積614E。注入閥618E、分流氣體閥620E、及填充容積614E這三個組件經由主要抑制氣體管線632彼此流體連接而分流氣體閥係流體地介於注入閥與填充容積之間。注入閥618E係流體連接至雙入口腔室604並流體地介於雙入口腔室與分流氣體閥620E之間。注入閥618E可用於控制抑制氣體從抑制氣體歧管612進入雙入口腔室604的流動。分流氣體閥620E係流體連接至分流歧管622並將來自填充容積614E之抑制氣體的流動導向至注入閥618E或至分流歧管622。分流歧管622可用於緩解來自抑制氣體歧管612的壓力,以清除抑制氣體歧管612之氣體、或用以穩定抑制氣體的流動。當抑制氣體正被流入噴淋頭時,分流歧管622可用於緩解加壓氣體,而確保來自抑制氣體歧管612的氣流在到達噴淋頭602前係穩定的。分流歧管622可用於排放剩餘在抑制氣體歧管612中的任何氣體,包括仍在填充容積614E中的抑制氣體。在某些案例中,在額外的抑制氣體進入抑制氣體歧管的流動之前可能需要清除抑制氣體歧管612之所有氣體。填充容積614E係流體地介於抑制氣體源616E與分流氣體閥620E之間。填充容積614E儲存並加壓來自抑制氣體源616E的抑制氣體。當關閉分流氣體閥620E時或者當分流氣體閥將氣體之流動導向至注入閥618E而關閉注入閥時,可將氣體從抑制氣體源616E流動至其中儲存並加壓氣體的填充容積614E。
在一範例中,第二氣體區608包括NF 3。當製程中未使用NF 3氣體時,關閉注入閥618E以防止NF 3氣體流進雙入口腔室604。抑制氣體源616E將NF 3氣體流動進入主要抑制氣體管線632並進入填充容積614E。由於注入閥618係關閉的,NF 3氣體將充填填充容積614E並將成為加壓的。當藉由開啟注入閥618而釋放氣體時,加壓的NF 3氣體增加氣體的質量流速。當製程使用NF 3至基板的流動時,開啟注入閥618。加壓的NF 3氣體流進雙入口腔室604中並進入噴淋頭602中。
當抑制氣體壓力在填充容積614E中積累時,噴淋頭602可將處理氣體從第一氣體區606流入腔室中。第一氣體區606具有處理氣體歧管610及至少一氣體源616。在所示的實施例中,有四個不同的氣體源616。在某些實施例中,可存在單一氣體源616。在其他實施例中,可存在多氣體源。如以上所指明的,從氣體源供應的氣體之範例為Ar、H 2、及WF 6。在所示的實施例中,有四個個別的氣體源616。各處理氣體源616A、616B、616C、及616D供應氣體至處理氣體歧管610中的個別管線。在某些實施例中,針對各管線之各氣體源616的氣體型式可為獨特的,例如,616A中的氣體不同於616B中的氣體,616A及616B中的氣體不同於616C中的氣體等等。在其他實施例中,可使用相同氣體作為二或更多氣體源的氣體,例如,處理氣體源616A中的氣體可為與氣體源616B中氣體相同的氣體。
第一氣體區606具有處理氣體歧管610。在所示的實施例中,處理氣體歧管610具注入閥618A、分流氣體閥620A、及具有相應填充容積閥624的填充容積614。注入閥618A將氣體從處理氣體歧管610流體連接至雙入口腔室604。分流氣體閥620A係流體地介於注入閥618A與填充容積閥624之間。注入閥618A、分流氣體閥620A、及填充容積閥624係經由主要處理氣體管線630流體連接。與抑制氣體歧管612中的分流氣體閥620E相似,處理氣體歧管610中的分流氣體閥620A可將主要處理氣體管線630中的及/或來自填充容積614的氣體轉移至分流歧管622。
將來自處理氣體源616的處理氣體流入相應填充容積614中。當關閉填充容積閥624時,來自相應氣體源616的處理氣體可充填相應填充容積614。當來自處理氣體源616的處理氣體充填填充容積614時,氣體可成為加壓的。填充容積614儲存加壓氣體直到藉由開啟相應填充容積閥624而釋放氣體至主要處理氣體管線630中。
在一範例中,藉由處理氣體源616A提供WF 6氣體。當WF 6沒有用於晶圓處理時,關閉填充容積閥624A。處理氣體源616A將WF 6流入填充容積614A中。WF 6氣體充填填充容積614A並成為加壓的。當WF 6氣體被加壓至填充容積614A中的期望壓力時,處理氣體源616A停止WF 6氣體進入填充容積的流動。一旦腔室中之晶圓處理使用WF 6氣體,用於其他氣體的填充容積閥624B、624C、及624D關閉,而防止在其他填充容積614中的氣體流入主要處理氣體管線630中。相似地,關閉抑制氣體歧管612中的注入閥618E以防止抑制氣體進入雙入口腔室604。開啟用於WF 6氣體的填充容積閥624A而儲存在填充容積614中的WF 6氣體流入主要處理氣體管線630中。WF 6氣體流經分流氣體閥620A並流經注入閥618A而流入雙入口腔室604中。氣體在被注入腔室中而用於晶圓處理之前從雙入口腔室604流入噴淋頭602中。
在圖2所述的製程中,結構中W膜之沉積可使用H 2作為還原劑且非保形處理204可使用NF 3來抑制及蝕刻。然而,當H 2及NF 3氣體混合在一起時,它們可能爆發性地反應。因而,防止兩氣體的意外混合至關重要。於此範例中,第一氣體區606中的氣體源616B提供H 2氣體至處理氣體歧管610且第二氣體區608中的氣體源616E提供NF 3氣體至抑制氣體歧管612。如以上所述,針對所沉積之鎢膜的非保形處理而將NF 3氣體流入腔室中。於淨化之後,將例如WF 6及H 2氣體的沉積氣體流入腔室中。NF 3氣體係流經抑制氣體歧管612而經過雙入口腔室604而經過噴淋頭602至腔室(未顯示)。在將NF 3氣體流入腔室中之前,關閉用於H 2氣體的填充容積閥624B並將惰性氣體流通整個管線以清除管線中任何剩餘的H 2氣體。而後,將NF 3氣體經過抑制氣體歧管612而經過雙入口腔室604流入噴淋頭602中。可藉由第一氣體區606中例如氣體源616C的氣體源供應惰性氣體,或者可藉由流體連接至雙入口腔室604之第一入口626的另一氣體源(未顯示)供應惰性氣體。與流動NF 3氣體同時地,第一氣體區606中的惰性氣體流經處理氣體歧管610而經由第一入口626進入雙入口腔室604中。此舉防止雙入口腔室604中的NF 3氣體經由第一入口626流出並迫使NF 3氣體進入噴淋頭602中。從處理氣體歧管610流出的惰性氣體防止NF 3氣體流入處理氣體歧管610中並在NF 3氣體與H 2氣體之間建立屏障。或者,當使用來自外部源(未顯示)的惰性氣體時,關閉注入閥618,而防止任何氣體流入或流出處理氣體歧管610。外部氣體源將惰性氣體流入雙入口腔室604的第一入口626中,從而防止來自第二氣體區608的任何NF 3氣體從第一入口626流出而進入H 2氣體所在的第一氣體區606。因而,在兩案例中,NF 3氣體與H 2氣體兩者之間具有至少兩屏障-關閉的閥及惰性氣體,而防止該兩氣體之間任何潛在的混合。
於流動NF 3氣體之後,執行淨化。淨化可清除在噴淋頭602、雙入口腔室604、及該些管線中任何剩餘的NF 3氣體。一旦用於H 2氣體的流動路徑被淨化且清除NF 3氣體,便可將H 2氣體流入處理腔室中。來自第二氣體區608的惰性氣體係流至雙入口腔室604並用於防止H 2氣體於上游回流而流向NF 3氣體。此外,可關閉注入閥618E以防止NF 3氣體流入雙入口腔室604中而與H 2氣體混合。
在多站腔室中,每一站具有相應的噴淋頭602。取決於工具配置,每一站亦可具有相應的處理氣體歧管610及抑制氣體歧管612。在某些實施例中,多站腔室中的某些站僅具有處理氣體歧管610而其他站具有處理氣體歧管610及抑制氣體歧管612兩者。在此實施例中,具有處理氣體歧管610及抑制氣體歧管612兩者的站將具有相應的雙入口腔室604。例如,有四站的多站腔室具有配以相應處理氣體歧管的站一及站四。站三及站四具有相應處理氣體歧管610及相應抑制氣體歧管612兩者。在此範例中,站三及站四將各具有流體地介於相應噴淋頭602與相應處理氣體歧管610及相應抑制氣體歧管612之間的相應雙入口腔室604。取決於工具配置,處理氣體歧管610的每一者可配以相同氣體或可配以不同氣體。相似地,取決於工具配置,抑制氣體歧管612的每一者可配以相同抑制氣體或可不同抑制氣體。
圖7顯示雙入口腔室704及噴淋頭702之配置的範例。雙入口腔室704具有第一入口726、第二入口728、及出口734。噴淋頭702及雙入口腔室704係經由出口氣體管線740彼此流體連接。可將雙入口腔室704盡可能靠近噴淋頭702放置。例如,可將雙入口腔室704直接放置在處理腔室(未顯示)外。藉由靠近噴淋頭702放置雙入口腔室704,雙入口腔室中的氣體可快速到達噴淋頭702以減少晶圓處理時間且加壓氣體仍保持加壓,從而允許氣體完全流向3D NAND結構。
在所示的範例中,第一入口726將第一入口氣體管線736流體連接至雙入口腔室704且第二入口728將第二入口氣體管線738流體連接至雙入口腔室。在某些實施例中,如圖6中所討論的,可將第一入口氣體管線736流體連接至第一氣體區(未顯示)並可將第二入口氣體管線738流體連接至第二氣體區(未顯示)。
雙入口腔室704可具有流經雙入口腔室並從出口734流出的單一氣體或多數氣體。在某些實施例中,第一入口726可具有流入雙入口腔室704中的氣體且第二入口728可具有流入雙入口腔室中的第二氣體。雙入口腔室704可允許兩氣體混合並形成兩氣體的氣體混合物。可將新形成的氣體混合物經由出口734流出雙入口腔室704並進入噴淋頭702以分散至處理腔室(未顯示)中。
圖7顯示包括環狀空間750的雙入口腔室704。雙入口腔室704允許從第一入口726及第二入口728兩者至出口734的均勻氣體分佈。從第一入口726進入的氣體行經主要管線752而直接至出口734並進入噴淋頭702。從雙入口腔室704的側邊進入的氣體經由第二入口728而經由環狀空間750的一側進入。環狀空間750均勻地分佈來自第二入口728、環狀空間之一側、至主要管線752之氣體的輸送。因而,環狀空間允許從第一入口726及第二入口728兩者至出口734並進入噴淋頭702的氣體之均勻分佈。
於雙入口腔室704下方的是噴淋頭702。噴淋頭將氣體從雙入口腔室704分佈進入腔室(未顯示)中。噴淋頭可為單充氣或雙充氣噴淋頭。在製程204中使用NF 3的處理製程係優於使用例如氨(NH 3)之其他氣體的其他處理,因為使用NF 3的處理製程允許使用單充氣噴淋頭。NH 3氣體難以淨化並可能在硬體中留下殘留物(淨化後)。殘留物可能與例如WF 6、SiH 4、及B 2H 6的其他處理氣體反應。因而,當如NH 3的氣體用於處理製程時,雙充氣噴淋頭防止留在噴淋頭中的NH 3氣體殘留物與其他處理氣體的交叉汙染。然而,NF 3氣體允許使用單充氣噴淋頭。儘管NF 3可能與其他處理氣體反應,但淨化操作能夠從噴淋頭中清除NF 3氣體及NF 3殘留物。因而,只要在下一氣體的使用之前將噴淋頭702中的氣體淨化,便可使用單充氣。
圖8顯示處理氣體歧管810及抑制氣體歧管812的範例。如同在圖6的範例中,在一範例中,處理氣體歧管810係在第一氣體區(未顯示)的氣體歧管而抑制氣體歧管812係在第二氣體區(未顯示)的氣體歧管。在所示的範例中,處理氣體歧管810具有四填充容積814、四填充容積閥824、分流氣體閥820A、及注入氣體閥818A。四填充容積閥824、分流氣體閥820A、及注入氣體閥818A這六個閥係流體地串接,如同圖6中描繪的示意圖所示。如同以上圖6中所討論的,可變化處理氣體歧管810中填充容積814的數量。在某些實施例中,可存在單一填充容積814。在其他實施例中,可存在多數填充容積814。在圖8所示的範例中,有四個填充容積814。填充容積814彼此平行且各自藉由其相應的填充容積閥824流體連接至注入氣體閥818。每一填充容積814具有連接至外部氣體源(未顯示)的填充容積埠842。填充容積814儲存並加壓來自外部氣體源的氣體。此舉允許當從填充容積814釋放氣體時對氣體之質量流量的控制。各填充容積814可取決於應用而在尺寸上變化。各填充容積814的尺寸取決於不同的因素,例如,填充於容積中之氣體的型式、針對該應用所使用之氣體的容積、及針對該應用所使用的壓力。在某些實施例中,處理氣體歧管810上的各填充容積814可具有相同尺寸。在其他實施例中,各填充容積814的尺寸將改變。例如,在特定處理氣體歧管810中,四填充容積中的三者具有0.3公升的容積而第四填充容積具有0.1公升的容積。在另一範例中,處理氣體歧管810具有四填充容積814,而每一填充容積具有0.3公升的容積。在某些實施例中,可重新配置設備以取決於特定製程而使用不同尺寸的填充容積。
填充容積814的每一者係經由相應的填充容積閥824流體連接至注入氣體閥818A。相應的填充容積閥824係流體地介於注入氣體閥818A及其相應的填充容積814之間。當關閉填充容積閥824時,來自相應填充容積814的氣流停止並且避免該氣流到達注入氣體閥818A。氣體流入填充容積814中並加壓。當將填充容積閥824置於開啟位置時,填充容積中的氣體被釋放並流經處理氣體歧管810。
流體地介於填充容積閥824與注入氣體閥818A之間的為分流氣體閥820A。分流氣體閥820A具有分流氣體閥埠844A以連接至分流氣體歧管(未顯示)。分流氣體閥820A將氣體的流動從填充容積814導至注入氣體閥818A或分流氣體閥埠844A。在某些實施例中,分流氣體閥820A可為能阻止氣體之流動的三通閥。
注入氣體閥818A具有流體連接處理氣體歧管810與雙入口腔室(未顯示)的注入氣體閥出口846A。注入氣體閥818A控制從處理氣體歧管810出來的氣體之流動。當關閉注入氣體閥818A時,從處理氣體歧管810出來的流動停止。當開啟注入氣體閥時,來自處理氣體歧管的氣體流出至注入氣體閥出口846A。
抑制氣體歧管812具有彼此流體連接的注入氣體閥818E、分流氣體閥820E、及填充容積814E。分流氣體閥820E流體地介於注入氣體閥818E與填充容積814E之間。填充容積814E具有填充容積埠842E以連接至氣體源(未顯示)。氣體源經由填充容積814E提供氣體至抑制氣體歧管812。在所示的實施例中存在單一填充容積814E因而沒有使用填充容積閥。在某些實施例中,可存在多數填充容積814。在此案例中,每一填充容積814會與其他填充容積彼此並列且每一填充容積會具有相應的填充容積閥以控制來自各別填充容積的流動。
抑制氣體歧管812具有具分流氣體閥埠844E的分流氣體閥820E。分流氣體閥820E的分流氣體閥埠844E流體地連接至分流氣體歧管(未顯示)。與在處理氣體歧管810中的分流氣體閥820相似,分流氣體閥將來自填充容積814E之氣體的流動導至注入氣體閥818E或分流氣體閥埠844E。在某些實施例中,分流氣體閥820E可為能阻止氣體之流動的三通閥。
抑制氣體歧管812中的注入氣體閥818E具有注入氣體閥出口846E及注入氣體閥入口848。注入氣體閥出口846E將抑制氣體歧管812流體連接至雙入口腔室(未顯示)。注入氣體閥入口848將例如惰性氣體的另一氣體連接至抑制氣體歧管812。例如,可將注入氣體閥入口848連接至Ar並用於將惰性氣體流入腔室中,而防止任何其他處理氣體流動至抑制氣體歧管812。注入氣體閥818E控制從抑制氣體歧管812出來的氣體之流動。當關閉注入氣體閥818E時,從抑制氣體歧管812出來的流動停止,當開啟注入氣體閥時,氣體的流動流至注入氣體閥出口846E。 鎢膜的沉積
在某些實施方式中,本文所述的方法涉及在本體層之沉積前的鎢成核層之沉積。在本文所述的範例中,可將成核層沉積作為第一保形沉積或作為用於第一保形沉積的種子層。成核層為有利於本體含鎢材料於其上之後續沉積的薄保形層。依據諸多實施方式,可於任何特徵部的填充之前及/或於特徵部之填充期間的後續時點沉積成核層。在本文所述方法的某些實施方式中,僅於特徵部填充的開始時沉積成核層而在後續沉積時不需要。如以上所述,在某些實施例中,保形Dep1沉積為成核層。沉積於成核層上的亦可為本體層。
在成核層沉積中,於ALD序列中可將還原劑、可選的淨化氣體、及含鎢前驅物之脈衝序列地注入反應腔室以及從反應腔室中清除。成核層厚度可取決於成核層沉積方法以及主體沉積的所需品質。一般而言,成核層厚度係足以支撐高品質、均勻的主體沉積。範例可為從10Å至100Å的範圍。
本文所述的方法不限於鎢成核層沉積的特定方法而包含使用包括PNL、ALD、CVD、及物理氣相沉積(PVD)的任意方法形成的鎢成核層上之本體鎢膜的沉積。此外,在某些實施方式中,可在特徵部中直接沉積本體鎢而無成核層之使用。例如,在某些實施方式中,特徵部表面及/或已沉積下方層支撐本體鎢沉積。在某些實施方式中,可執行不使用成核層的本體鎢沉積製程。
在諸多實施方式中,鎢成核層沉積可涉及曝露至例如六氟化鎢(WF 6)、六氯化鎢(WCl 6)、及六羰鎢(W(CO) 6)的含鎢前驅物。在某些實施方式中,含鎢前驅物為含鹵素化合物,例如WF 6。亦可使用有機金屬前驅物,以及例如MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)的無氟前驅物。
還原劑的範例可包含包括二硼烷(B 2H 6)及其他硼烷的含硼還原劑、包括矽烷(SiH 4)及其他矽烷的含矽還原劑、聯胺、及鍺烷。在某些實施方式中,可使用一或更多還原劑之脈衝替代含鎢前驅物之脈衝,例如S/W/S/W/B/W等等,W代表含鎢前驅物,S代表含矽前驅物,以及B代表含硼前驅物。在某些實施方式中,可不使用單獨的還原劑,例如,含鎢前驅物可進行熱或電漿輔助分解。
依據諸多實施方式,在背景中可以或可不運行氫。再者,在某些實施方式中,鎢成核層的沉積後可接著為鎢本體沉積前的一或更多處理操作。將所沉積的鎢成核層處理至低電阻率可包括還原劑及/或鎢前驅物之脈衝。 本體沉積
本體沉積亦可涉及其中將鎢前驅物及還原劑序列地注入反應腔室以及從反應腔室中清除的ALD製程。可使用氫作為還原劑而非像是在成核層沉積中使用的二硼烷之較強的還原劑。
鎢本體沉積亦可藉由其中將還原劑及含鎢前驅物流入沉積腔室中的CVD製程而進行,以在特徵部中沉積本體填充層。可將惰性載氣用於輸送一或更多反應物流,可以或可不預先混合反應物流。不像ALD製程,此操作通常涉及連續地流動反應物直到沉積所需的量。在某些實施方式中,CVD操作可以多階段進行,其中連續且同時之反應物流的多個時段被一或更多反應物流分流之時段分隔開。
應理解本文所述的鎢膜取決於所使用的特定前驅物及製程而包括些許量的其他化合物、摻雜物及/或雜質,例如氮、碳、氧、硼、磷、硫、矽、鍺等。膜中鎢含量可為從20%至100%(原子)鎢之範圍。在許多實施方式中,膜係富含鎢的而具有至少50%(原子)鎢、或甚至至少約60%、75%、90%、或99%(原子)鎢。
圖9顯示形成W膜之ALD方法的範例。依據圖9的方法例如可在圖2之操作202及206的一或二者中使用。首先,於操作905中,脈衝W前驅物。於脈衝W前驅物後,可進行可選的淨化(915)。可將氬氣或任何惰性氣體用於淨化腔室中任何未吸附之前驅物。將基板曝露至共反應物(925),共反應物可為用以還原W前驅物的還原劑或用以與W前驅物反應的其他共反應物以形成元素W。反應物可為含氫反應物。在某些實施例中,含氫反應物可為熱(非電漿)氫(H 2)。針對電漿為基的製程,可使用產自H 2的遠端或原位電漿。於935可執行可選的淨化,接著重複操作905至935直到膜完全長成。此可為鋪襯特徵部的保形膜,例如保形W膜305或405,或是填充全部或某些特徵部的本體層,例如本體W308或408。
在某些實施例中,圖2中的操作202包括W成核層的沉積,W成核層作為保形層或者作為有本體W沉積於其上之保形層的一部分。
在某些實施例中,使用一或更多的含硼還原劑(例如,B 2H 6)或含矽還原劑(例如,SiH 4)作為共反應物來沉積W成核層。例如,可採用一或更多S/W循環以沉積有本體W層沉積於其上的W成核層,其中S/W係指矽烷之脈衝後接含W前驅物之脈衝。在另一範例中,可採用一或更多B/W循環以沉積有本體W層沉積於其上的W成核層,其中B/W係指二硼烷之脈衝後接含W前驅物之脈衝。B/W及S/W循環兩者皆可用於沉積W成核層,例如,x(B/W) + y(S/W),其中x和y為整數。以下給出含B及含S還原劑的範例。針對W成核層之沉積,在某些實施例中,含W前驅物可為非含氧前驅物,例如,WF 6或WCl 5。含氧前驅物中的氧可與含矽或含硼還原劑反應以形成WSi xO y或WB xO y,而為不純的、高電阻率膜。可使用具有最小化氧摻入的含氧前驅物。在某些實施例中,可使用H 2作為還原劑取代含硼或含矽還原氣體。針對W成核層之沉積的示例性厚度範圍從5 Å至30 Å。於此範圍之較低點的膜可能為不連續的;然而,只要它們可有助於開始連續的本體W生長,該厚度便可足夠。在某些實施例中,相較於W前驅物脈衝可於較低的基板溫度下進行還原劑脈衝。例如,可於300 oC以下的溫度執行或B 2H 6或SiH 4(或其他含硼或含矽還原劑)脈衝,而W脈衝係在大於300 oC的溫度下。
儘管以下說明內容聚焦於鎢特徵部填充,亦可以使用其他材料填充特徵部的方式實施所揭示內容的實施態樣。例如,可以使用鉬、鈷或含釕材料的特徵部填充製程來實施圖5中所述的處理序列。 設備
可使用任何合適的腔室以實施所揭示的實施例。示例性的沉積設備包括諸多系統,例如,可得自加州Fremont之Lam Research Corp.的ALTUS ®及ALTUS ®Max,或諸多其他商業上可得之處理系統中的任一者。
在某些實施例中,可在位於單一沉積腔室內的二、五、或甚至更多沉積站中之一的第一站執行第一沉積。因而,例如,於第一站可使用個別的氣體供應系統而將氫(H 2)或六氟化鎢(WF 6)以交替脈衝方式導入至半導體基板之表面,而於基板表面處產生局部氣氛。另一站可用於NF 3處理,且第三及/或第四站用於後續的ALD本體填充。
圖10為依據實施例之適用於執行沉積製程之處理系統的示意圖。系統1000包括傳輸模組1003。傳輸模組1003提供乾淨、加壓的環境以最小化當受處理基板在諸多反應器模組之間移動時基板之汙染的風險。安裝於傳輸模組1003上的為能夠依據諸多實施例執行ALD處理、及CVD的多站反應器1009。多站反應器1009可包括可依據所揭示實施例序列地執行操作的多站1011、1013、1015、及1017。例如,可將多站反應器1009配置以使得站1011使用鎢前驅物及含硼或含矽還原劑執行鎢成核層沉積,站1013使用H 2作為還原劑執行保形層的ALD鎢本體沉積,站1015執行NF 3處理操作,且站1017可使用H 2作為還原劑執行處理後的本體ALD填充。
站可包括加熱台座或基板支持座、一或更多氣體入口或噴淋頭或分散板。
回到圖10,亦安裝於傳輸模組1003上的可為一或更多能夠執行電漿或化學(非電漿)預清洗、其他沉積操作、或蝕刻操作的單一或多站模組1007。該模組亦可用於諸多處理以例如準備用於沉積製程的基板。系統1000亦包括一或更多晶圓源模組1001,晶圓於處理之前和之後儲存於其中。在大氣傳輸腔室1019中的大氣機器人(未顯示)首先可將晶圓從晶圓源模組1001中移出至裝載鎖1021。傳輸模組1003中的晶圓傳輸裝置(通常為機器人手臂單元)將晶圓從裝載鎖1021移動至安裝於傳輸模組1003上的模組之中。
在諸多實施例中,採用系統控制器1029以控制沉積期間的製程條件。控制器1029通常將包括一或更多記憶體裝置及一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器1029可控制沉積設備的所有活動。系統控制器1029執行系統控制軟體,包括用於控制時間、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或台座位置、及特定製程之其他參數之指令的設定。在某些實施例中可採用儲存在關聯於控制器1029之記憶體裝置上的其他電腦程式。
通常將有關聯於控制器1029的使用者介面。使用者介面可包括顯示螢幕、設備及/或製程條件之圖形軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等。
可以任何合適的方式配置系統控制邏輯。一般而言,可將邏輯以硬體及/或軟體設計或配置。可將用於控制驅動電路的指令硬編碼或提供為軟體。可藉由「程式化」提供指令。將如此程式化理解為包括任何形式的邏輯,包括在數位訊號處理器、應用特定積體電路、及具有實施為硬體之特定演算法的其他裝置中的硬編碼邏輯。程式化亦理解為包括可在通用處理器上執行的軟體或韌體指令。可以任何適當的電腦可讀程式語言將系統控制軟體予以編碼。
用以控制含鍺還原劑脈衝、氫流動、及含鎢前驅物脈衝、以及製程序列中之其他製程的電腦程式碼可以任何習知的電腦可讀程式語言撰寫:例如,組合語言、C、C++、Pascal、Fortran、或其它。編譯後的目標碼或腳本係藉由處理器執行以執行程式中識別之任務。亦如同所指明的,程式碼可為硬編碼的。
控制器參數與製程條件有關,舉例來說,製程條件例如為處理氣體成分及流速、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室牆溫度。將這些參數以配方的形式提供給使用者並可利用使用者介面輸入這些參數。
可藉由系統控制器1029的類比及/或數位輸入連接而提供用於監控製程的訊號。用於控制製程的訊號係輸出於沉積設備1000的類比及數位輸出連接上。
可用許多不同方式設計或配置系統軟體。例如,可編寫諸多腔室部件子程序或控制對象以控制依據所揭示實施例執行之沉積製程所需的腔室部件之操作。針對此目的之程式或程式片段的範例包括基板定位編碼、處理氣體控制編碼、壓力控制編碼、及加熱器控制編碼。
在某些實施方式中,控制器1029為系統的部分,系統可為上述範例的部分。如此系統可包括半導體處理設備,其包括處理工具或複數工具、腔室或複數腔室、用於處理的平台或複數平台、及/或特定的處理部件(晶圓台座、氣流系統等)。此些系統可與電子元件整合在一起,以在半導體晶圓或基板的處理之前、期間及之後控制它們的運作。該些電子元件可稱為「控制器」,其可控制系統或複數系統的諸多部件或子部件。取決於系統的處理條件及/或型式,可將控制器1029程式化以控制任何本文所揭示的製程,包括處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、在某些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置與操作設定、晶圓傳送進出工具和其他傳送工具及/或連接到特定系統或與特定系統介接的裝載鎖。
廣義而言,可將控制器定義為接收指令、發出指令、控制操作、實現清潔操作、實現終點量測、及諸如此類者之具有諸多積體電路、邏輯、記憶體、及/或軟體的電子元件。積體電路可包括儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs)、定義成特殊應用積體電路(ASICs)的晶片、及/或一或更多微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以諸多個別設定(或程式檔案)的形式傳送到控制器而定義在半導體晶圓上或對半導體晶圓或對系統執行特定製程之操作參數的指令。在某些實施例中,操作參數可為由製程工程師定義之配方的部分,用以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間完成一或更多處理步驟。
在某些實施方式中,控制器1029可為電腦的一部分或耦接到電腦,其中該電腦與系統整合、耦接至系統、以其他方式網路連接至系統、或上述之組合。例如,控制器1029可位在「雲端」或工廠主機電腦系統的全部或一部分中,其可容許晶圓處理的遠端存取。電腦可實現對系統的遠端存取,以監控製造操作的目前進度、審視過去製造操作的歷史、從複數製造操作審視趨勢或效能指標,以改變目前處理的參數、將處理步驟設定成依循目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,使用者介面實現參數及/或設定的輸入或程式化,該參數及/或設定接著從遠端電腦傳送到系統。在某些範例中,控制器接收資料形式的指令,其用於在一或更多操作期間為待執行之每一處理步驟指定參數。應理解的是,該些參數可專用於待執行之製程的型式以及控制器配置成與之介接或加以控制之工具的型式。因而如上所述,控制器可為分散式的,例如藉由包括以網路連結在一起且朝向例如本文所述之製程與控制的共同目的而運作的一或更多分散的控制器。用於如此目的之分散式控制器的範例可為腔室上的一或更多積體電路,其與位在遠端(例如位在平台層或作為遠端電腦的部分)且結合以控制腔室上製程的一或更多積體電路通信。
不構成限制的情況下,示例性的系統可包括電漿蝕刻腔室或模組、沉積腔室或膜組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、CVD腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、循跡腔室或模組、以及可關聯於或用於半導體晶圓之製造及/或生產的任何其他半導體處理系統。
如上所述,取決於待由工具執行的一或多製程步驟,控制器可能與其他工具電路或模組、其他工具部件、群集工具、其他工具介面、鄰近的工具、相鄰的工具、位在工廠各處的工具、主電腦、另一控制器、或用於材料傳送而將晶圓之容器帶進或帶出半導體製造工廠中之工具位置及/或裝載埠的工具之中的一或更多者通信。
控制器1029可包括諸多程式。基板定位程式可包括用以控制用於將基板裝載至台座或卡盤上且用於控制基板和腔室之其他部件之間間隔的腔室部件的程式碼,腔室之其他部件例如氣體入口及/或目標。處理氣體控制程式可包括在沉積之前用於控制氣體組成、流速、脈衝時間、及可選地用於將氣體流入腔室內以便穩定腔室中壓力的編碼。壓力控制程式可包括用以藉由調節例如腔室之排放系統中的節流閥而控制腔室中壓力的編碼。加熱器控制程式可包括用於控制通往用以加熱基板之加熱單元之電流的編碼。或者,加熱器控制程式可控制例如氦之熱傳送氣體至晶圓卡盤的輸送。
於沉積期間可受監控之腔室感測器的範例包括質量流量控制器、例如壓力計的壓力感測器、及位於台座或卡盤中的熱電偶。可將適當程式化的反饋及控制演算法與來自這些感測器的資料一起使用以維持所需的製程條件。
以上描述在單一或多腔室半導體處理工具中之所揭示實施例的實施方式。可將本文所述的設備及製程與光刻圖案化工具或製程一起使用,例如,用於半導體裝置、顯示器、LEDs、太陽光電板、及其類似者的製造或生產。通常但並非必須地,如此工具/製程將與共用製造設備一起使用或執行。膜的光刻圖案化通常包括部分或全部的下列步驟,每一步驟配有一些可能的工具:(1)利用旋塗或噴塗工具於工作件(即,基板)上之光阻的施加;(2)利用熱板或加熱爐或UV熱處理工具對光阻之熱處理;(3)用例如晶圓步進器之工具將光阻曝露至可見光或UV光或x射線光;(4)利用例如濕式清洗台之工具使光阻顯影,以便選擇性地移除光阻並藉此將其圖案化;(5)利用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下方膜或工作件中;以及(6)利用例如RF或微波電漿光阻剝除劑之工具來移除光阻。 結論
儘管為了清楚瞭解的目的已經略為詳細地敘述以上實施例,但將顯見可在隨附的申請專利範圍的範疇內實行特定變化和修改。應注意存在有實施本案實施例之製程、系統、及設備的許多替代方式。因此,本案實施例應被視為說明性的而非限制性的,並且不應將該些實施例限制於本文所給定的細節。
102:矽基板 110:3D NAND結構 120:特徵部 122:開口 125:左3D NAND堆疊 126:右3D NAND堆疊 130:中央垂直結構 140:側壁 155:柱 160:水平截面 175:孔隙 202,204,206:操作 Dep1:沉積操作1 Dep2:沉積操作2 370,371,372,373:使用鎢填充3D NAND結構之製程序列 470,471,472,473:使用鎢填充3D NAND結構之製程序列(包括蝕刻) 305,405:保形W膜 306,406:下方層 308,408:本體W 351:柱收斂 352,452:內部 365:部 422:特徵部開口 502,504,506:操作 602,702:噴淋頭 604,704:雙入口腔室 606:第一氣體區 608:第二氣體區 610,810:處理氣體歧管 612,812:抑制氣體歧管 614A,614B,614C,614D,614E,814A,814B,814C,814D,814E:填充容積 616A,616B,616C,616D:氣體源 616E:抑制氣體源 618A,618E:注入閥 620A,620E,820A,820E:分流氣體閥 622:分流歧管 624A,624B,624C,624D,824A,824B,824C,824D:填充容積閥 626,726:第一入口 628,728:第二入口 630:主要處理氣體管線 632:主要抑制氣體管線 734:出口 736:第一入口氣體管線 738:第二入口氣體管線 740:出口氣體管線 750:環狀空間 752:主要管線 818A,818E:注入氣體閥 842A,842B,842C,842D,842E:填充容積埠 844A,844E:分流氣體閥埠 846A,846E:注入氣體閥出口 848:注入氣體閥入口 905,915,925,935,945:操作 1000:系統 1003:傳輸模組 1007:單一或多站模組 1009:多站反應器 1001:晶圓源模組 1011,1013,1015,1017:站 1019:大氣傳輸腔室 1021:裝載鎖 1029:系統控制器
圖1A至1E呈現示例性3D NAND結構的不同視圖及實施態樣。
圖2為繪示使用鎢處理及填充特徵部之方法中之某些操作的製程流程圖。
圖3為在使用鎢處理及填充之諸多階段的字元線特徵部的示意圖。
圖4為在使用鎢處理及填充之諸多階段的字元線特徵部的另一示意圖。
圖5為繪示特徵部表面之處理的方法中之某些操作的製程流程圖。
圖6顯示可用於執行本文所述方法之設備的示意圖。
圖7顯示示例性雙入口腔室及示例性噴淋頭。
圖8顯示示例性抑制氣體歧管及處理氣體歧管的俯視圖。
圖9為繪示用於鎢沉積之方法中之某些操作的製程流程圖。
圖10顯示可用於執行本文所述方法之示例性處理系統的示意圖。
702:噴淋頭
704:雙入口腔室
726:第一入口
728:第二入口
734:出口
736:第一入口氣體管線
738:第二入口氣體管線
740:出口氣體管線
750:環狀空間
752:主要管線

Claims (17)

  1. 一種用於半導體處理的設備,該設備包含: 一第一噴淋頭; 一雙入口腔室,具有 一第一入口, 一第二入口,及 一出口,流體連接至該第一噴淋頭; 一第一氣體區,包含一第一處理氣體歧管,該第一處理氣體歧管包含: 一或更多第一處理氣體填充容積, 一第一分流處理氣體閥,流體連接至該一或更多第一處理氣體填充容積,及 一第一注入處理氣體閥,流體連接至該第一分流處理氣體閥,其中該第一處理氣體歧管係配置以經由該一或更多第一處理氣體填充容積流體連接至一或更多第一處理氣體源;且該第一處理氣體歧管係經由該第一注入處理氣體閥流體連接至該雙入口腔室的該第一入口; 一第二氣體區,包含一第二處理氣體歧管,該第二處理氣體歧管包含: 一或更多第二處理氣體填充容積, 一第二分流處理氣體閥,流體連接至該一或更多第二處理氣體填充容積,及 一第二注入處理氣體閥,流體連接至該第二分流處理氣體閥,其中該第二處理氣體歧管係配置以經由該一或更多第二處理氣體填充容積流體連接至一或更多第二處理氣體源;且該第二處理氣體歧管係經由該第二注入處理氣體閥流體連接至該雙入口腔室的該第二入口, 其中於該雙入口腔室的上游該第一氣體區係與該第二氣體區分隔。
  2. 如請求項1之設備,進一步包含: 一分流歧管,其中: 該分流歧管係經由該第一分流處理氣體閥流體連接至該第一處理氣體歧管以及經由該第二分流處理氣體閥流體連接至該第二處理氣體歧管。
  3. 如請求項1之設備,進一步包含: 一多站腔室,具有包含該第一噴淋頭的一第一站、以及各包含一噴淋頭的一或更多額外站。
  4. 如請求項3之設備,其中該多站腔室中的至少一站流體連通至不超過一氣體區。
  5. 如請求項1之設備,其中該雙入口腔室包含一環狀空間,其圍繞連接至該出口之一主要管線。
  6. 如請求項5之設備,其中該第二入口係位於該環狀空間的側邊。
  7. 一種用於半導體處理的方法,包含: 提供一部分製造半導體基板的一3D結構至具有不超過100托之腔室壓的一腔室,該3D結構包含側壁、通往複數特徵部的該側壁中之複數開口,該複數特徵部具有由該腔室通過該些開口而流體可達的複數內部區域; 於該3D結構內沉積一第一層的鎢,使得該第一層鋪襯該3D結構的該複數特徵部;以及 非保形地處理該第一層,使得相對於該複數內部區域該處理係優先施加於該第一層靠近該複數開口的部分;以及 於該3D結構內該第一層上沉積一第二層的鎢,使得該第二層至少部分地填充該3D結構的該複數內部區域; 其中非保形地處理該第一層包含填充含NF 3的一氣體至至少10托的一第一填充壓力並將該氣體流動至該腔室。
  8. 如請求項7之方法,其中該處理抑制鎢沉積。
  9. 如請求項7之方法,其中沉積鎢層包含使用六氟化鎢(WF 6)及氫(H 2)的一原子層沉積。
  10. 如請求項7之方法,其中沉積鎢層包含經由一噴淋頭輸送一鎢前驅物及氫的脈衝至該腔室。
  11. 如請求項7之方法,其中沉積鎢包含經由一雙入口腔室輸送一鎢前驅物及氫至一噴淋頭。
  12. 如請求項11之方法,其中於該雙入口腔室的一第一入口處注入該鎢前驅物及氫。
  13. 如請求項12之方法,其中於該雙入口腔室的一第二入口處注入含NF 3的該氣體。
  14. 如請求項13之方法,其中當於該雙入口腔室的該第二入口處注入NF 3時,在該雙入口腔室的該第一入口中注入一惰性氣體。
  15. 如請求項11之方法,其中經由一第一氣體歧管供應該鎢前驅物及氫氣並經由一第二氣體歧管供應NF 3
  16. 如請求項7之方法,進一步包含於該3D結構內沉積一成核層,使得該成核層鋪襯該3D結構的該複數特徵部。
  17. 如請求項16之方法,其中沉積該成核層係於該腔室中的一第一站進行,且沉積該第一層的鎢、該處理、及沉積該第二層的鎢係於該腔室中的一第二站進行。
TW111118471A 2021-05-21 2022-05-18 高深寬比3d nand架構中的鎢字元線填充 TW202309974A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163191714P 2021-05-21 2021-05-21
US63/191,714 2021-05-21

Publications (1)

Publication Number Publication Date
TW202309974A true TW202309974A (zh) 2023-03-01

Family

ID=84141748

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111118471A TW202309974A (zh) 2021-05-21 2022-05-18 高深寬比3d nand架構中的鎢字元線填充

Country Status (4)

Country Link
KR (1) KR20240011601A (zh)
CN (1) CN115868002A (zh)
TW (1) TW202309974A (zh)
WO (1) WO2022246076A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4357487B2 (ja) * 2006-01-04 2009-11-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement

Also Published As

Publication number Publication date
CN115868002A (zh) 2023-03-28
KR20240011601A (ko) 2024-01-26
WO2022246076A1 (en) 2022-11-24

Similar Documents

Publication Publication Date Title
US11901227B2 (en) Feature fill with nucleation inhibition
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US9548228B2 (en) Void free tungsten fill in different sized features
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR20220082023A (ko) 몰리브덴 충진
JP2023520675A (ja) 核形成阻害を伴うフィーチャ充填
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition
TW202309974A (zh) 高深寬比3d nand架構中的鎢字元線填充
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑