TW201405781A - 鎢特徵部塡充 - Google Patents

鎢特徵部塡充 Download PDF

Info

Publication number
TW201405781A
TW201405781A TW102110947A TW102110947A TW201405781A TW 201405781 A TW201405781 A TW 201405781A TW 102110947 A TW102110947 A TW 102110947A TW 102110947 A TW102110947 A TW 102110947A TW 201405781 A TW201405781 A TW 201405781A
Authority
TW
Taiwan
Prior art keywords
feature
tungsten
layer
tungsten layer
etching
Prior art date
Application number
TW102110947A
Other languages
English (en)
Other versions
TWI602283B (zh
Inventor
Anand Chandrashekar
Esther Jeng
Raashina Humayun
Michal Danek
Ju-Wen Gao
de-qi Wang
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201405781A publication Critical patent/TW201405781A/zh
Application granted granted Critical
Publication of TWI602283B publication Critical patent/TWI602283B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Abstract

在此說明以鎢來填充特徵部的方法以及相關系統與設備。這些方法包含由裏朝外(inside-out)填充技術以及特徵部內的保形沉積。由裏朝外填充技術可包含在特徵部內之蝕刻鎢層上的選擇性沉積。依照各種實施例可使用保形與非保形蝕刻技術。在此所述的這些方法可用以填充例如鎢穿孔的垂直特徵部、以及例如垂直NAND(VNAND)字元線的水平特徵部。應用的範例包含邏輯與記憶體接點填充、DRAM埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、以及具有矽穿孔(TSVs,through-silicon vias)的3-D積體化。

Description

鎢特徵部填充 【相關申請案之交互參照】
本申請案主張根據35 USC §119(e)之美國臨時專利申請案第61/616,377號的優先權,該優先權基礎案係申請於2012年3月27日,為所有之目的,其整體內容乃藉由參考文獻方式合併於此。
本發明係關於一種鎢特徵部填充之技術。
使用化學氣相沉積(CVD,chemical vapor deposition)技術之含鎢材料的沉積係許多半導體製造程序不可或缺的部分。這些材料可用於水平互連、鄰接金屬層之間的穿孔、第一金屬層與矽基板上之裝置之間的接點、以及高縱橫比特徵部。在習知沉積製程中,於沉積腔室中將基板加熱至一預定製程溫度,並且沉積作為晶種或成核層的含鎢材料薄層。之後,將此含鎢材料的其餘部分(主體(bulk)層)沉積在此成核層上。按照慣例,此含鎢材料係藉由六氟化鎢(WF6)與氫(H2)的還原反應而形成。將含鎢材料沉積在包含特徵部與場地區域(field region)的整個基板曝露表面區域上方。
將含鎢材料沉積到小並且具高縱橫比的特徵部內可能會在已填充之特徵部內部引起接縫與空隙的形成。大的接縫可能會導致高電阻、污染、所填充之材料的損失,並且以其他方式使積體電路的性能降低。例如,接縫可能在填充製程之後延伸靠近此場地區域,然後在化學機械平坦化期間開啟。
在本揭露內容中所述之申請標的之一實施樣態可被實現於以鎢 來填充特徵部的方法中,其包含:在特徵部內保形地沉積鎢而以第一主體鎢層來填充特徵部,去除一部分的第一主體鎢層以在特徵部內留下蝕刻鎢層;以及將第二主體鎢層選擇性地沉積在蝕刻鎢層上。依照各種實施例,第二主體鎢層可填充特徵部,或者可選擇性或保形地沉積一或多個額外鎢層以完成特徵部填充。在某些實施例中,第二主體鎢層可部分地填充特徵部,而特徵部的剩餘部分則維持未填充。
依照各種實施例,以第一主體鎢層保形地填充特徵部可包含使一或多個空隙及/或接縫形成在特徵部內。當去除一部分之沉積鎢層時,可去除或開啟這些接縫及/或空隙的其中一或多者。
選擇地沉積第二主體鎢層可包含在特徵部內不形成成核層的情況下直接在蝕刻鎢層上進行沉積。在某些實施例中,第二主體鎢層中之晶粒成長的方向及/或長度係不同於第一主體鎢層。
依照各種實施例,特徵部可就基板的平面而為垂直定向或水平定向。在某些實施例中,特徵部可包含一或多個縊縮部(constrictions)或突出部(overhangs),及/或具有內凹(re-entrant)輪廓。縊縮部的範例包含3-D結構中的支柱縊縮部。去除一部分的第一主體鎢層可包含蝕刻通過縊縮部或突出部。
可將第一主體鎢層沉積在特徵部表面上,包含在介電表面上、在加襯於特徵部的底層上、或在事先沉積的鎢成核層或主體鎢層上。底層的範例包含鈦(Ti)、氮化鈦(TiN)、氮化鎢(WN)、無氟鎢(FFW,fluorine-free tungsten)、以及TiAl(鈦鋁(titanium aluminide))。
去除一部分的第一主體鎢層可包含使此層曝露於在電漿產生器中所產生的活化物種,其包含在遠端產生及/或原位(in-situ)產生之電漿中所產生者。可被使用之電漿產生器的範例包含電容耦合電漿(CCP,capacitively coupled plasma)產生器、感應耦合電漿(ICP,inductively coupled plasma)產生器、變壓器耦合電漿(TCP,transformer coupled plasma)產生器、電子迴旋共振(ECR,electron cyclotron resonance)產生器、以及螺旋波電漿產生器。活化物種的範例可包含離子、自由基以及原子物種。在某些實施例中,這些方法可包含使鎢曝露於自由基與原子物種,而實質上不存在離子物種。在某些其他實施例中,這些方法可包含使鎢曝露於離子物種。
在某些實施例中,以具有超過100%之階梯覆蓋的鎢來填充特徵部。在某些實施例中,第二主體鎢層對於特徵部可為非保形。
在此所述之申請標的之另一實施樣態可被實現於以鎢來填充特徵部的方法中,其包含:提供包含特徵部的基板,此特徵部具有一或多個特徵部開口、特徵部側壁、特徵部內部、以及沿著特徵部之長度延伸的特徵部軸,在特徵部內沉積鎢而以第一主體鎢層來填充特徵部,其中晶粒成長係實質上正交於特徵部軸;去除一部分的第一主體鎢層而在特徵部內留下蝕刻鎢層;以及將第二主體鎢層選擇性地沉積在蝕刻鎢層上,其中晶粒成長係實質上平行於特徵部軸。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:在特徵部內保形沉積鎢而以第一主體鎢層來填充特徵部,在去除一部分的鎢之後接收基板,所接收的特徵部包含蝕刻鎢層;以及在蝕刻鎢層上選擇性地沉積第二主體鎢層。在某些實施例中,第二主體鎢層對於特徵部可為非保形。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:接收包含特徵部的基板,此特徵部具有特徵部開口、特徵部側壁、以及封閉的特徵部末端,以包含空隙及/或接縫的保形主體鎢層來填充特徵部,此空隙及/或接縫係形成在保形主體鎢層中;以及蝕刻一部分的保形主體鎢層,包含從特徵部的側壁去除鎢,以使鎢實質上僅留在特徵部的封閉末端。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:接收包含特徵部的基板,此特徵部具有特徵部開口、特徵部側壁、以及封閉的特徵部末端,以包含空隙及/或接縫的保形主體鎢層來填充特徵部,此空隙及/或接縫係形成在保形主體鎢層中;以及蝕刻一部分的保形主體鎢層,包含從特徵部的側壁去除鎢,以使鎢實質上僅留在特徵部內部中。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:提供包含特徵部的基板,此特徵部具有一或多個特徵部開口、特徵部側壁、以及特徵部內部,在特徵部內沉積第一主體鎢層;蝕刻第一主體鎢層以形成蝕刻鎢層,其中蝕刻第一主體鎢層的步驟包含去除在特徵部內達到從一或多個特徵部開口延伸之凹槽深度的實質上所有之鎢;以及在特 徵部內沉積第二主體鎢層。
依照各種實施例,第一主體層可完全或部分地填充特徵部。在某些實施例中,可在第一主體層中形成空隙或接縫。在某些實施例中,蝕刻第一主體層包含第一主體層之至少一區域的橫向蝕刻。可在特徵部內選擇性地或保形地沉積第二主體層。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:在特徵部內保形地沉積硼層;使特徵部內的一部分硼層轉化成鎢,以在特徵部內留下剩餘硼層;選擇性地蝕刻鎢而不蝕刻剩餘硼層;以及使剩餘硼層轉化成鎢。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:在特徵部內保形地沉積硼層,此硼層具有至少約5nm的厚度;使硼層特徵部的整個厚度轉化成鎢,以使特徵部的已填充部分經歷體積膨脹;以及重複保形沉積與轉化操作一或多次而部分或完全地以鎢來填充特徵部。
在此所述之申請標的之另一實施樣態可被實現於下列方法中,其包含:在特徵部內保形地沉積無氟氮化鎢層;以及使氟氮化鎢層轉化成無氟鎢層。
在此所述之申請標的之又另一實施樣態可被實現於下列方法中,其包含:使用含鹵素還原劑在特徵部內保形地沉積鎢層;抽出含鹵素副產物;以及在保形鎢層上沉積無氟含鎢。
進一步的實施樣態可被實現於設置成用以實現在此所述之任何方法的設備中。
進一步參考圖式來說明這些以及其他實施樣態。
100‧‧‧基板
101‧‧‧特徵部
103‧‧‧基板
105‧‧‧特徵孔
109‧‧‧縊縮部
112‧‧‧縊縮部
113‧‧‧底層
115‧‧‧突出部
118‧‧‧軸
119‧‧‧下部分
121‧‧‧頂部分
125‧‧‧支柱
127‧‧‧區域
129‧‧‧層間介電層
148‧‧‧結構
150‧‧‧特徵部
151‧‧‧縊縮部
301‧‧‧特徵部
302‧‧‧鎢層
303‧‧‧鎢
312‧‧‧空隙
313‧‧‧TiN底層
314‧‧‧接縫
317‧‧‧場地區域
350‧‧‧鎢成長階段
351‧‧‧夾止點
352‧‧‧接縫形成點
360‧‧‧鎢成長階段
370‧‧‧鎢成長階段
401‧‧‧特徵部
402‧‧‧鎢
403‧‧‧鎢底層
404‧‧‧鎢層
405‧‧‧覆蓋鎢層
412‧‧‧空隙
413‧‧‧氮化鈦層
414‧‧‧接縫
419‧‧‧介電質
451‧‧‧縊縮部
461‧‧‧底層
463‧‧‧底層
465‧‧‧層
601‧‧‧特徵部
602‧‧‧開口
603‧‧‧凹陷鎢層
605‧‧‧開放容積
701‧‧‧特徵部
702‧‧‧鎢
703‧‧‧凹陷鎢層
705‧‧‧開放容積
720‧‧‧場地區域
722‧‧‧區域
801‧‧‧特徵部
802‧‧‧鎢
803‧‧‧剩餘鎢層
812‧‧‧空隙
813‧‧‧底層
819‧‧‧底層
849‧‧‧凹槽深度
851‧‧‧縊縮部
852‧‧‧下部分
854‧‧‧上部分
901‧‧‧特徵部
902‧‧‧特徵部
915‧‧‧突出部
951‧‧‧縊縮部
1001‧‧‧特徵部
1012‧‧‧空隙
1051‧‧‧縊縮部區域
1052‧‧‧下部分
1053‧‧‧縊縮部
1054‧‧‧上部分
1101‧‧‧特徵部
1115‧‧‧突出部
1301‧‧‧特徵部
1313‧‧‧氮化鈦層
1325‧‧‧保形硼層
1327‧‧‧鎢層
1401‧‧‧特徵部
1403‧‧‧鎢
1412‧‧‧空隙
1413‧‧‧底層
1414‧‧‧空隙
1451‧‧‧縊縮部
1452‧‧‧內部區域
1455‧‧‧末端
1503‧‧‧蝕刻鎢層
1551‧‧‧縊縮部
1555‧‧‧內部區域
1603‧‧‧蝕刻鎢層
1712‧‧‧空隙
1912‧‧‧空隙
1925‧‧‧硼層
1925a‧‧‧殘留硼層
1925b‧‧‧殘留硼層
1927a‧‧‧鎢層
1927b‧‧‧鎢層
1927c‧‧‧鎢層
2004‧‧‧鎢成核層
2006‧‧‧部分
2008‧‧‧主體鎢
2009‧‧‧主體鎢
2013‧‧‧底層
2051‧‧‧支柱縊縮部
2102‧‧‧鎢保形層
2103‧‧‧鎢層
2113‧‧‧底層
2153‧‧‧內部
2155‧‧‧特徵部末端
2208‧‧‧FFWN或FFW敷蓋層
2212‧‧‧空隙
2253‧‧‧特徵部內部
2302‧‧‧鎢層
2308‧‧‧FFWN或FFW層
2312‧‧‧空隙
2351‧‧‧夾止點
2353‧‧‧內部
2400‧‧‧設備
2402‧‧‧來源
2406‧‧‧遠端電漿產生器
2408‧‧‧連接管線
2410‧‧‧混合槽
2412‧‧‧惰性氣體
2414‧‧‧噴淋頭
2416‧‧‧原位電漿產生器
2418‧‧‧腔室
2420‧‧‧台座
2422‧‧‧系統控制器
2424‧‧‧感測器
2426‧‧‧真空出口
2500‧‧‧設備
2501‧‧‧處理腔室
2503‧‧‧晶舟盒
2505‧‧‧負載室
2507‧‧‧外部機械人
2509‧‧‧機構
2511‧‧‧站
2512‧‧‧站
2513‧‧‧站
2514‧‧‧站
2515‧‧‧站
2516‧‧‧站
2520‧‧‧設備
2521‧‧‧腔室
2523‧‧‧腔室
2525‧‧‧腔室
2527‧‧‧基板搬運機械人
2529‧‧‧晶舟盒
圖1A-1G顯示依照在此所述之製程而能夠以含鎢材料進行填充之各種結構的範例。
圖2與2A係說明在以鎢來進行特徵部之由裏朝外填充之方法中的某些操作的製程流程圖。
圖3A-4B係在由裏朝外特徵部填充之各種階段的特徵部的示意 圖。
圖5A-5D係說明在各種溫度下之鎢(W)、鈦(Ti)以及氮化鈦(TiN)蝕刻速率與蝕刻選擇度的圖表。
圖6係包含凹槽蝕刻鎢層之特徵部的示意圖。
圖7係在凹槽蝕刻之各種階段之特徵部的示意圖。
圖8係在使用凹槽蝕刻之特徵部填充之各種階段之特徵部的示意圖。
圖9A係用以說明蝕刻保形性調變之在蝕刻之各種階段之特徵部的示意圖。
圖9B係顯示關於不同蝕刻劑流量之作為蝕刻溫度函數之鎢蝕刻速率的圖表。
圖10係在使用非保形蝕刻之特徵部填充之各種階段之特徵部的示意圖。
圖11係在使用鎢成核之選擇性抑制的特徵部填充之各種階段之特徵部的示意圖。
圖12係顯示關於在高與低功率蝕刻之後所沉積之膜的時間主體層成長延遲的圖表。
圖13A係在使用成為鎢之硼轉化的特徵部填充之各種階段之特徵部的示意圖。
圖13B係說明在使用成為鎢之硼部分轉化來填充特徵部之方法中的某些操作的製程流程圖。
圖13C與13D係說明在使用無氟含鎢層來填充特徵部之方法中的某些操作的製程流程圖。
圖14-23係在特徵部填充之各種階段之三維垂直NAND(3-D VNAND)特徵部的示意圖。
圖24-25B係顯示適用於實施在此所述之方法的設備之範例的示意圖。
在下列說明中,為了提供對本發明的徹底瞭解而提出許多具體細 節。本發明可在不具有部分或所有這些具體細節的情況下被實施。在其他情況下,為了不對本發明造成不必要的混淆,已不詳述為人所熟知的製程操作。雖然本發明將結合具體實施例來進行說明,但吾人可瞭解此並非意指本發明係限制於這些實施例。
在此說明以鎢來填充特徵部的方法以及相關的系統與設備。應用的範例包含邏輯與記憶體接點填充、DRAM埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、以及具有矽穿孔(TSVs,through-silicon vias)的3-D積體化。在此所述的這些方法可用以填充例如在鎢穿孔中的垂直特徵部、以及例如垂直NAND(VNAND)字元線的水平特徵部。這些方法可用於保形(conformal)與由下而上(bottom-up)或由裏朝外(inside-out)填充。
依照各種實施例,這些特徵部可藉由窄及/或內凹(re-entrant)開口、具有此特徵部的縊縮部(constrictions)、以及高縱橫比之其中一或多者加以特徵化。可被填充之特徵部的範例係描繪在圖1A-1C中。圖1A顯示待以鎢填充之垂直特徵部101之橫剖面圖的一範例。此特徵部可包含位於基板103中的特徵孔105。此基板可為例如200-mm晶圓、300-mm晶圓、或450-mm晶圓的矽晶圓,其包含具有沉積於其上之一或多層材料(例如介電、導電、或半導電材料)的晶圓。此特徵部可被形成在這些層的其中一或多者中。例如,此特徵部可至少部分地被形成在介電層中。在某些實施例中,特徵孔105可具有至少約2:1、至少約4:1、至少約6:1或更高的縱橫比。特徵孔105在開口附近亦可具有介於約10nm到500nm的尺寸(例如開口直徑或線寬),例如介於約25nm到300nm。特徵孔105可被稱為未填充特徵部或僅稱為特徵部。特徵部101、以及任何特徵部可部分地藉由延伸穿過此特徵部之長度的軸118加以特徵化,而垂直定向特徵部具有垂直軸以及水平定向特徵部具有水平軸。
圖1B顯示具有內凹輪廓之特徵部101的一範例。內凹輪廓為從特徵部之底部、封閉端、或內部往特徵部開口變窄的輪廓。依照各種實施例,此輸廓可逐漸變窄及/或在特徵部開口處包含突出部。圖1B顯示後者的一範例,底層113加襯於特徵孔105的側壁或內部表面。底層113可為例如擴散阻障層、接著層、成核層、其組合、或任何其他適用材料。底層的非限制性範例可包含介電層與導電層,例如矽氧化物、矽氮化物、矽碳 化物、金屬氧化物、金屬氮化物、金屬碳化物、以及金屬層。在特定實施例中,底層可為Ti、TiN、WN、TiAl、以及W之其中一或多者。底層113形成突出部115,以使底層113在特徵部101之開口處附近係比特徵部101內部更厚。
在某些實施例中,可填充位於此特徵部內具有一或多個縊縮部的特徵部。圖1C顯示具有縊縮部之各種已填充特徵部之視圖的範例。圖1C中的每一個範例(a)、(b)以及(c)在此特徵部內的中點處皆包含縊縮部109。縊縮部109可例如介於約15nm-20nm寬。在使用習知技術於特徵部中沉積鎢的期間,縊縮部可能會引起夾止(pinch-off),在特徵部的此部分被填充之前,所沉積的鎢會妨礙進一步的沉積通過縊縮部,而在特徵部中造成空隙。範例(b)在特徵部開口處更包含襯墊/阻障突出部115。此種突出部亦可能為潛在夾止點。範例(c)包含縊縮部112,其係比範例(b)中的突出部115更遠離此場地區域(field region)。如進一步在下文中所述,在此所述的方法可進行如圖1C所繪的無空隙填充。
亦可填充例如3-D記憶體結構的水平特徵部。圖1D顯示包含縊縮部151之水平特徵部150的一範例。例如,水平特徵部150可為VNAND結構中的字元線。
在某些實施例中,可能會因為在VNAND或其他結構中存在有支柱而引起縊縮部。例如,圖1E顯示VNAND或垂直積體化記憶體(VIM,vertically integrated memory)結構148中之支柱125的平面圖,而圖1F則顯示支柱125的簡化橫剖面示意圖。圖1E中的箭頭係代表沉積材料;當支柱125配置在區域127與氣體入口或其他沉積源之間時,鄰接的支柱可能會造成縊縮部151,這些縊縮部會引起區域127之無空隙填充的挑戰。
例如,可藉由在基板100上沉積交替之層間介電層129與犧牲層(未圖示)的堆疊並且選擇性蝕刻犧牲層而形成結構148。例如,這些層間介電層可為氧化矽及/或氮化矽層,以及這些犧牲層為可被一蝕刻劑選擇性蝕刻的材料。在此之後,可進行蝕刻與沉積製程以形成支柱125,其可包含已完成之記憶體裝置的通道區域。
基板100的主表面可在x與y方向上延伸,而支柱125係朝向z方向。在圖1E與1F的範例中,支柱125係以偏移方式被加以排列,以使 在x方向上緊鄰的支柱125係在y方向上互相偏移,反之亦然。依照各種實施例,吾人可以許多方式來排列這些支柱(以及因鄰接支柱所形成的對應縊縮部)。此外,支柱125可為包含圓形、方形等等的任何形狀。支柱125可包含環狀半導電材料,或圓形(或方形)半導電材料。閘極介電質可包圍此半導電材料。可以鎢來填充每一層間介電層129之間的區域;因此,結構148具有複數個待填充的堆疊水平定向特徵部,這些水平定向特徵部係在x及/或y方向上延伸。
圖1G提供例如包含支柱縊縮部151之VNAND或其他結構之水平視角特徵部的另一範例。圖1G的範例為開放式,待沉積的材料能夠如箭頭所示水平地從兩端進入。(吾人應注意到圖1G的範例可被視為此結構之3-D特徵部的2-D繪圖,且圖1G為待填充區域的橫剖面圖,以及此圖中所示的支柱縊縮部係表示可於平面圖而非橫剖面圖觀看到的縊縮部。)在某些實施例中,3-D結構可利用沿著二或三度空間(例如在圖1F的範例中係在x與y或x、y與z方向上)延伸的待填充區域加以特徵化,並且可能會引起比填充沿著一或二度空間延伸之孔洞或溝渠更多的填充挑戰。例如,當沉積氣體可從多個維度進入一特徵部時,控制3-D結構的填充可具有挑戰性。
以含鎢材料來填充特徵部可能會在已填充之特徵部內部引起空隙與接縫的形成。空隙係特徵部內未被填充的區域。例如當所沉積的材料在特徵部內形成夾止點時可能會形成空隙,以封鎖住特徵部內的未填充空間而妨礙反應物進入與沉積。
存在有多個關於空隙與接縫形成的潛在起因。一為在沉積含鎢材料或者更典型地沉積例如擴散阻障層或成核層之其他材料期間形成在特徵部開口附近的突出部。一範例係顯示在圖1B中。
未於圖1B中說明但可能會導致接縫形成或放大接縫之空隙或接縫形成的另一個起因係彎曲(或弓狀)特徵孔側壁,其亦被稱為弓狀特徵部。在弓狀特徵部中,位於開口附近之空穴的橫剖面尺寸係小於此特徵部內部的橫剖面尺寸。在弓狀特徵部內的這些較窄開口的影響係與上述突出部的問題有幾分相似。例如圖1C、1D以及1G所示之特徵部內的縊縮部也會引起對於幾乎不具有空隙與接縫之鎢填充的挑戰。
即使達成無空隙填充,特徵部內的鎢仍可能包含貫通穿孔、溝 渠、線或其他特徵部之軸或中間的接縫。此係因為鎢成長可在側壁處開始並且持續直到晶粒與從相對側壁成長的鎢會合為止。此接縫可能會使包含含氟化合物(例如氫氟酸(HF))的雜質困住。在化學機械平坦化(CMP,chemical mechanical planarization)期間,成核現象(coring)亦可能從接縫傳播開來。依照各種實施例,在此所述的方法可減少或消除空隙與接縫形成。在此所述的方法亦可解決下列其中一或多者:
1)極具挑戰性的輪廓:在大部分內凹特徵部中可使用如美國專利申請案第13/351,970號(其係藉由參考文獻方式合併於此)所述的沉積-蝕刻-沉積(dep-etch-dep)循環來達成無空隙填充。然而,根據尺寸與幾何形狀,可能會需要多個沉積-蝕刻循環來達成無空隙填充。此可能會影響製程安定性與產量。在此所述的實施例可提供具有較少或不具有沉積-蝕刻-沉積循環的特徵部填充。
2)小特徵部與襯墊/阻障影響:在特徵部尺寸為極小的情況下,調整蝕刻製程而不影響底層襯墊/阻障的完整性可能係非常困難的。在某些情況下,可能會在W-選擇性蝕刻期間發生間歇性Ti攻擊-此可能係起因於在蝕刻期間的鈍化TiFx層之形成。
3)在W晶粒邊界處的散射:在特徵部內部存在多個W晶粒可能會因為晶粒邊界散射而造成電子損失。因此,相較於理論預測與綜合晶圓結果,實際裝置性能將會被降低。
4)W填充的降低穿孔容積:特別係在較小與較新的特徵部中,金屬接點的明顯部分被W阻障(TiN、WN等等)耗盡。這些膜一般具有比W更高的電阻係數並且會負面地衝擊像是接觸電阻等等的電特性。
在此提供以鎢來填充特徵部的各種方法,這些方法減少或消除空隙與接縫形成。這些方法可用於包含垂直與水平定向之任何定向之特徵部的特徵部填充。在某些實施例中,這些方法可用以填充相對於基板之平面而具有傾斜定向的特徵部。在某些實施例中,這些方法可用以填充具有多個定向的特徵部。此種特徵部的範例包含3-D特徵部,於其中沉積氣體可垂直與橫向地進入一特徵部。又,在某些實施例中,這些方法可用以填充在單一基板上具有不同定向的多個特徵部。
以下說明水平定向與垂直定向特徵部之特徵部填充的範例。吾人 應注意到在大部分情況下,這些範例可應用在水平定向或垂直定向特徵部兩者。此外,吾人亦應注意到在以下說明中,「橫向」一詞可用以指大體上與特徵部軸正交的方向,而「垂直」一詞則係指大體上沿著特徵部軸的方向。
由裏朝外(inside-out)填充
在內凹特徵部內的習知鎢沉積係從側壁表面開始並且橫向地進行(即正交於側壁表面與特徵部軸)直到此特徵部被夾止為止。就在此所述的由裏朝外填充而言,鎢成長係從特徵部垂直地(即沿著特徵部軸)進行。在某些實施例中,消除或延遲從特徵部側壁的鎢成長,以允許鎢由裏朝外成長。此可造成大鎢晶粒以及較低電阻係數,沿著特徵部軸無接縫產生以及在化學機械平坦化(CMP)期間降低成核現象,以消除與減少特徵部內的空隙。
在此所述的實施例可包含特徵部內的鎢沉積,之後為用以去除所有或部分沉積在側壁上之鎢的蝕刻,而留下位於此特徵部之更內部的鎢,例如,在特徵部的封閉端(如在垂直定向特徵部的底部上或在水平定向特徵部的封閉端)、或在具有多個開口之水平定向特徵部的內部中。初始的沉積物對於自此特徵部之所有可進入(accessible)表面均勻成長的鎢可為保形。之後,後續的沉積可為「選擇性的」,因為鎢係優先成長於留在此特徵部內的鎢上而非在底層或基板結構上。在某些實施例中,整個沉積製程(例如保形沉積-蝕刻-選擇性沉積)可被特徵化為由裏朝外而非保形。由裏朝外填充係指自特徵部之內部發生的成長,並且可被稱為例如圖1A與1B中之垂直封閉式結構的「由下而上」填充。
圖2係說明由裏朝外填充之方法的某些操作的製程流程圖。此方法可起始於在方塊201中,於特徵部內保形地沉積鎢。在某些實施例中,方塊201可包含鎢成核層的沉積,之後為主體(bulk)沉積。以下進一步說明鎢成核層沉積與主體沉積技術。在某些實施例中,若例如特徵部包含維持鎢沉積的底層,則方塊201可僅包含主體沉積。在包含縊縮部或除此之外易被夾止的特徵部內,可執行方塊201至少直到特徵部被夾止為止。在保形沉積中,沉積係從每一個表面開始並且以大體上與此表面正交的成長方式進行。特徵部內的鎢成長係起始於每一個側壁並且進行直到此成長將此特徵部夾止為止。在某些實施例中,於方塊201中所沉積之鎢的量可基於 最窄特徵部尺寸而加以決定。例如,若最窄尺寸為50nm,則可允許方塊201中的CVD反應進行得足夠長以在每一個表面上沉積25nm,此時,所沉積的鎢會妨礙進一步到此特徵部內的反應物擴散。此通常可在此反應之前基於反應動力學、鎢成核層厚度等等而加以決定。在某些實施例中,方塊201可包含如美國專利申請案第13/016,656號(其乃藉由參考文獻方式合併於此)所述的多個沉積-蝕刻-沉積循環。在某些實施例中,方塊201未包含任何蝕刻操作,而只有直到至少此特徵部被夾止為止的沉積操作。方塊201可在單一腔室、多站的單一站或單一站腔室內、在多站設備的多個站內、或在多個腔室內發生。例如,方塊201可包含位於腔室之一個站內的鎢成核層沉積,之後為位於此腔室之另一個站內的主體沉積。
此製程可在方塊203中繼續,於其中進行鎢的部分蝕刻。部分的鎢留在特徵部內,但蝕刻係從特徵部的至少部分側壁去除鎢。方塊203通常包含利用例如含氟物種或其他蝕刻劑物種的化學蝕刻。在某些實施例中,可使用活化物種。活化物種可包含原子物種、自由基物種、以及離子物種。為了此種應用之目的,將活化物種與再結合物種以及最初饋送到電漿產生器內的氣體予以區別開來。例如,部分蝕刻所沉積的鎢可包含對在遠端或原位電漿產生器內所產生之蝕刻劑物種的曝露。在某些實施例中,可相繼或同時使用遠端產生與原位產生之電漿物種兩者。在某些實施例中,使用F2、CF3Cl、或其他蝕刻劑化學品的非電漿化學蝕刻可被使用。方塊203可在與方塊201相同的腔室內發生或者在不同的腔室內發生。以下進一步說明在特徵部內蝕刻鎢的方法。根據特徵部結構,蝕刻可保形或非保形。又,深蝕刻(etch back)大體上可橫向地(與特徵部軸正交)及/或垂直地(沿著特徵部軸)進行。
依照各種實施例,蝕刻可以或可不以底層為優先。例如,蝕刻可以W為優先,而例如Ti或TiN底層係作為蝕刻中止層。在某些實施例中,此蝕刻可對W與Ti或TiN進行蝕刻,而下伏介電層係作為蝕刻中止層。
此製程接著在方塊205繼續,於其中在剩餘的鎢上進行選擇性沉積。選擇性沉積係指相對於自其將鎢去除的側壁或其他表面而在鎢表面的優先沉積。在某些實施例中,選擇性沉積製程可實質不將鎢沉積在側壁表面上。在某些實施例中,雖然係以明顯慢於鎢表面上之沉積的成長速率, 但選擇性沉積製程仍可將小量的鎢沉積在側壁表面上。例如,側壁表面上的成長速率與所沉積的厚度可多如在鎢表面上的一半或比其一半更少。在某些實施例中,其可為多如十分之一或甚至百分之一。
在某些實施例中,方塊205可不進行成核層的沉積。此可允許僅在特徵部內的剩餘鎢上進行選擇性沉積。在許多實施例中,方塊201可包含成核層的沉積以達成保形沉積,而方塊205係在不進行中間成核層沉積的情況下進行蝕刻鎢層上的沉積。在某些實施例中,可將成核層沉積在於其上期望進一步成長之特徵部的至少部分上。若在方塊205中將成核層沉積在不期望進行後續沉積的側壁或其他表面上,可選擇性地抑制這些表面上的鎢成核。在特徵部內抑制鎢成核的方法係描述在美國專利申請案第13/774,350號中,其乃藉由參考文獻方式合併於此。
圖2A係說明在依照圖2之由裏朝外填充之一範例中的某些操作的製程流程。此製程可起始於相繼使一含鎢前驅物以及一或多種還原劑產生脈衝,以藉由原子層沉積(ALD,atomic layer deposition)或脈衝成核層(PNL,pulsed nucleation layer)製程來形成鎢成核層(201a)。形成可維持後續主體沉積的薄保形成核層。以下提供成核層沉積的進一步沉積。接著,同時將一含鎢前驅物以及一還原劑導入到罩住特徵部的一腔室(201b)。此造成在方塊201a中所形成的鎢成核層上藉由化學氣相沉積(CVD)的鎢主體層(bulk layer)之沉積。就保形沉積而言,此主體鎢層係沿著下伏鎢成核層的輪廓,此下伏鎢成核層係沿著特徵部的輪廓。如以上參考圖2所述,之後對鎢進行部分蝕刻(203)。此製程繼續再次同時導入一含鎢前驅物以及一還原劑,以藉由CVD來沉積另一主體層(205a)。在圖2A的範例中,於方塊205a中所沉積的主體鎢層係直接沉積在蝕刻鎢上而不進行另一成核層的形成。
在某些實施例中,特徵部填充可包含用以填充特徵部的保形鎢沉積,而甚至允許此沉積在特徵部內進行空隙或接縫的形成,之後為用以開啟特徵部的深蝕刻,以及特徵部內的選擇性沉積。依照各種實施例,此用以填充特徵部的保形沉積可包含達到特徵部之頂部的填充或者僅位於縊縮部或夾止點之間的填充。在任一種情況下,包含接縫及/或空隙的特徵部之部分在深蝕刻之前可能會因為進一步的沉積而封閉。
先前的方案在第一沉積操作期間包含了部分填充,使得穿孔或其 他特徵部開啟而不被封閉。在後續蝕刻步驟期間,於這些方案中,針對此場地與夾止點內之鎢去除的後續蝕刻可能會具有去除穿孔或其他特徵部內部之鎢的不良副作用。之後,後續沉積步驟可在穿孔或其他特徵部內部以及在夾止點處使鎢以相同的速率重新成長,以造成與僅沉積(deposition-only)特徵部填充相同的鑰孔(keyhole)空隙。相較之下,在此所述的方法可在特徵部內留下部分成長物,而此部分成長物會造成後續沉積操作中的選擇性沉積。例如,一製程可包含蝕刻已夾止之穿孔,以從此場地與夾止點將鎢完全去除,而使穿孔內部的部分鎢成長物完整無缺。後續鎢沉積可在穿孔內部的既有鎢層上進行鎢的重新成長,且同時此場地內的顯著成長延遲可在進行最終穿孔填充時防止夾止與空隙。如上所述,此顯著成長延遲可至少部分歸因於維持鎢成長之表面的去除。在某些實施例中,相對於底層,蝕刻可以鎢為優先。例如,包含TiN/W(氮化鈦底層/鎢層)雙層的特徵部可接受以鎢為優先的蝕刻。此優先蝕刻(亦被稱為選擇性蝕刻)可從此場地與夾止點將鎢去除,而不蝕刻穿過位在此場地與夾止點的TiN。後續沉積可在特徵部的內部而非在此場地上或夾止點的側壁上進行鎢的重新成長。因此,此成長係由裏朝外(例如由下而上)而非保形。以下就圖3A、3B、4A以及4B來說明各種實施例。
在某些實施例中,特徵部填充可包含:1)用以夾止特徵部的沉積;2)用以去除位於夾止點間之鎢的深蝕刻;3)位於夾止點下方藉由選擇性沉積的填充;以及4)特徵部之其餘部分的填充。在某些實施例中,(2)包含對TiN、Ti、或其他底層上方之鎢具有選擇性(即,以鎢為優先)的蝕刻條件。圖3A顯示使用此種方法之特徵部填充之橫剖面示意圖的一範例。首先,在操作310,使用保形沉積技術來填充包含夾止點351以及TiN底層313的特徵部301。允許持續沉積,以使此特徵部被夾止並且亦使鎢沉積在場地區域317上。此操作中的沉積物大體上對於此特徵部可為保形,而在已填充的特徵部301內留下空隙312。保形沉積的一範例被概略地描繪在圖3C中,於其中說明在特徵部301內的鎢成長階段350、360、以及370。例如,階段350、360、以及370可表示用以在特徵部301內沉積鎢之CVD製程的進行階段。在階段350,鎢層302保形地加襯於特徵部301。如階段360所繪,鎢成長持續進行以正交方式從特徵部表面的大體均勻成長。在階段370,於 夾止點351處從側壁表面的成長封閉了特徵部301而留下空隙312。回到圖3A,於某些實施例中,在310的填充可為完全保形。在某些其他實施例中,此填充物大體上對於某些非保形外觀可為保形。例如,在夾止點351可抑制鎢成核,以延遲封閉特徵部301。在任一情況下,空隙312係在操作310之後出現。
在操作320,以對鎢具有選擇性的蝕刻來開啟特徵部301。亦即,使用蝕刻化學品來蝕刻特徵部301,此蝕刻化學品可蝕刻鎢而不明顯蝕刻底層313。在圖3A的範例中係蝕刻鎢而不蝕刻氮化鈦。允許進行此蝕刻直到清除夾止點351的鎢為止。特徵部301可維持封閉直到蝕刻製程結束為止,以使位於此夾止點下方的鎢保持完整無缺。在同時,對在此場地內以及位在此夾止點或在此夾止點上方的鎢進行過度蝕刻,藉以露出底層。因為使用選擇性蝕刻,所以氮化鈦層313會留在此場地區域與夾止點351的側壁上。因此,在夾止點351下方的特徵部301內存在有鎢303,而沿著位在夾止點351以及在此夾止點上方的側壁幾乎不具有鎢。若有的話,任何留下來的鎢通常都不足以維持從側壁的高品質一致成長。例如,在某些實施例中,其可為不連續膜。
在操作330,將鎢選擇性地沉積在特徵部301內的剩餘鎢303上。因為鎢僅存在於夾止點351下方,所以將鎢選擇性地沉積在夾止點351下方。此操作中的填充可被特徵化為由下而上。
在某些實施例中,可在操作320之後直接執行操作330。因為相較於位在夾止點351以及在此夾止點上方之露出底層313上的緩慢鎢成長,在特徵部301內之既有鎢303上存在較快速的重新成長,所以沉積為具有選擇性。在某些實施例中,於操作330之前可抑制夾止點351上的鎢成核。雖然未描繪,但在某些實施例中,可重複操作320與330一或多次。例如,若當所執行的操作330造成接縫之形成時,可在另一選擇性沉積操作之前執行蝕刻以去除此接縫。以下參考圖3B來說明接縫的去除。
接著可允許填充繼續以完全填充特徵部301。在某些實施例中,特徵部內的選擇性較快重新成長可允許在頂部夾止之前進行完全填充(未圖示)。在某些實施例中,可重複蝕刻與選擇性填充製程一或多次以達到完全填充。若特徵部在操作320與330的一或多次迭代(iterations)之後未被完全 填充,在某些實施例中,可執行操作340,於其中執行保形填充以完成特徵部301的填充。在某些實施例中,操作340可包含在夾止點351之側壁上的鎢成核層的沉積。在某些實施例中,可於操作340減少位在夾止點之先前選擇性抑制處理的作用,以允許不具有成核層沉積的保形填充。
在某些實施例中,特徵部填充可包含:1)用以填充特徵部的沉積;2)用以去除位於接縫形成處間之鎢的深蝕刻;3)藉由選擇性沉積的填充;以及4)特徵部之其餘部分的填充。圖3B顯示使用此種方法之特徵部填充之橫剖面示意圖的一範例。首先,在操作315中,使用保形沉積技術來填充包含氮化鈦底層313的特徵部。在此範例中,特徵部301具有實質垂直的側壁且不具有縊縮部,因此在特徵部301內不會形成空隙。然而,接縫314會沿著特徵部301的軸形成,於此處從每一側壁的成長會進行會合。當成長也從特徵部301的底部發生時,接縫形成會在特徵部底部上方的點352開始。沉積會在接縫形成開始之後的某一點被終止;因為特徵部內之標準CVD-W製程的保形本質,亦即通常包含如圖3B之範例所繪在操作315中完全填充特徵部。此終點可在沉積之前基於特徵部尺寸與鎢沉積速率而被加以決定。在某些實施例中,於操作315中可使用成核抑制及/或一或多非保形蝕刻來修改特徵部輪廓,以使特徵部在接縫形成處僅被部分地蝕刻。
接著,在操作325,以對鎢具有選擇性的蝕刻來開啟特徵部,而氮化鈦(或其他底層)313係作為蝕刻中止層。允許蝕刻進行至少到達接縫形成點352,而在接縫形成點352或其下方留下層303。吾人應注意到在操作325中所執行的蝕刻在某些方面可不同於在參考圖3A所述之操作320中所執行者。在操作325中,執行保形蝕刻以均勻去除位於特徵部內的鎢直到到達此接縫形成點為止。以下進一步說明控制蝕刻保形性(etch conformality)的方法。相較之下,在操作320中,蝕刻僅去除在特徵部之頂部附近的鎢並且較為非保形。然而,吾人應注意到在操作320期間,用於非保形蝕刻的條件可為不必要,因為封閉夾止點351的存在會防止蝕刻劑擴散到空隙312內。
回到圖3B,在此場地內以及在此接縫形成點上方的鎢被過度蝕刻,藉以露出底層313。因為使用選擇性蝕刻,所以氮化鈦層313會留在此場地區域以及特徵部301的側壁上。因此,在接縫形成點下方的特徵部301 內存在有鎢303,而沿著位在接縫形成點352以及在此接縫形成點上方的側壁幾乎不具有鎢。
接著,之後在操作335,利用由位於特徵部底部中之鎢層303上的較快成長動力學所引起的選擇度來執行造成由下而上的選擇性沉積。如同在參考圖3A所述的範例中,於某些實施例中,可進一步引起成為在蝕刻操作325之後且在沉積操作335之前於特徵部301內之鎢成核之選擇性抑制的選擇度。使用選擇性抑制的由下而上填充法係論述於美國專利申請案第13/774,350號中,其乃藉由參考文獻方式合併於此。在某些實施例中,可執行操作335直到對特徵部進行填充而達到特徵部的頂部為止。在某些其他實施例中,尤其若部分側壁成長發生而形成另一接縫的話,則特徵部填充可在到達特徵部頂部之前的某一點被停止。在圖3B所繪的範例中,在特徵部301的完全或部分填充之後,執行第二蝕刻操作326以再次從側壁將鎢去除。在此蝕刻操作中去除此接縫。然後執行選擇性沉積336以填充此特徵部。可重複這些選擇性蝕刻與沉積操作一或多次以填充此特徵部。
依照各種實施例,上述方法亦可用於水平定向特徵部的由裏朝外填充。此外,雖然以上就圖3A與3B所述的方法係使用去除鎢並同時使底層完整無缺的選擇性蝕刻,但在某些實施例中,於蝕刻期間可去除阻障層或其他底層。
圖4A顯示由裏朝外填充的另一範例,於其中填充包含縊縮部451之例如字元線(WL,word line)的水平定向特徵部401。特徵部401包含介電質419與氮化鈦413底層。(吾人應注意到在某些實施例中,若圖像410為側視圖,則縊縮部451例如可表示位於延伸到頁面內之平面上的一縊縮區域,其係由3-D結構中的支柱佈置所引起。)頂部圖像410顯示使用標準CVD的WL填充,其中在氮化鈦層413上的特徵部內保形地沉積鎢成核層,之後在此鎢成核層上進行CVD沉積。鎢402填充此特徵部,而明顯的空隙412係存在於通過縊縮部451的WL中。此外,接縫414係存在於通過縊縮部451到特徵部401之開口的鎢填充物中。
於在此所述的一方法中,填充可起始於操作420,用以部分填充此特徵部的保形沉積係包含夾止空隙412。操作420可包含在氮化鈦413上進行保形鎢成核層沉積,之後進行CVD沉積直到填充此縊縮部為止,藉以 夾止空隙412。如上所述,可基於縊縮部451的尺寸以及鎢沉積速率而決定沉積終點。
在操作430執行鎢與氮化鈦的保形蝕刻,以去除沉積在縊縮部451與特徵部401之開口間的鎢與氮化鈦。在某些實施例中,蝕刻溫度可為相當高,以增加蝕刻非選擇度。介電層419可作為蝕刻中止層。此蝕刻可被視為係「橫向」或者係在與特徵部軸正交的方向上。此蝕刻可在操作440繼續,於其中蝕刻通過縊縮部451,以去除鎢與氮化鈦直到空隙被去除為止,而僅留下鎢底層403。此時,此蝕刻可被視為係「垂直」或者係在與特徵部軸平行的方向上。(吾人應注意到從操作430到440,蝕刻條件不一定要改變;蝕刻的方向可因為待去除之沉積鎢的厚度與位置而改變。)層403可作為用於後續選擇性沉積的底部鎢晶種層。接著在操作450執行選擇性由裏朝外填充。僅將鎢選擇性地沉積在既有鎢晶種層403上而不沉積在介電質419上。與上述方法一樣,在某些實施例中,可重複蝕刻與選擇性沉積操作一或多次。此結果為無空隙、無接縫層404,其具有比在410所顯示以習知CVD進行填充的層402更大的晶粒以及更少的晶粒邊界。此外,TiN底層413僅存在於此特徵部的底部。可不需要位於鎢層404與介電層419之間的阻障層;鎢與氮化鈦的非選擇性蝕刻可允許更多的WL容積被鎢層404所佔據。
在某些實施例中,為了改善由裏朝外填充之鎢對基板的接著性,可在選擇性沉積期間將接著層沉積在特徵部內,及/或在特徵部的由裏朝外填充之前、期間或之後將接著層沉積在場地區域上。例如,在圖4A中,操作450中的由裏朝外成長可在某一點被終止,之後進行接著層沉積,然後繼續進行鎢沉積。以下在圖4B中顯示一範例。
在某些實施例中,這些方法可包含以適當覆蓋厚度來填充特徵部的鎢沉積。在某些情況下,如以上所參照之美國專利申請案第13/016,656號所述的沉積-蝕刻-沉積順序可用以達成無空隙填充。在填充特徵部之後,可在使特徵部內之鎢形成凹槽的情況下蝕刻特徵部,並且在同時去除位在此場地以及沿著側壁到達凹槽深度平面的任何底層,例如TiN、Ti、WN、或無氟鎢(FFW,fluorine-free tungsten)其中一或多者。依照各種實施例,底層介電質可或可不被去除。在進行凹槽蝕刻之後,可以沿著特徵部之軸的 由裏朝外(由下而上)成長方式來進行主體鎢沉積。在某些實施例中,若去除襯墊層、阻障層、或接著層或其他底層,可在進行此場地內之鎢沉積以及進行CMP之前,於此場地內及/或沿著此特徵部側壁沉積另一底層。
於圖4B中提供在凹槽蝕刻後進行由裏朝外填充之方法的一範例中之特徵部401的橫剖面圖。首先,在460,以鎢402來填充特徵部401。特徵部401包含底層461與463,其可例如為Ti、TiN、WN、TiAl等等之任何一者。接縫414係存在於特徵部401內。在462,執行凹槽蝕刻,以去除鎢402、以及底層461與463而到達凹槽深度平面,留下蝕刻鎢層403。在替代實施例中,吾人可以選擇性蝕刻來留下底層461或底層461與463。凹槽蝕刻去除在特徵部401之凹陷容積內的接縫414,此有助於防止在後續CMP操作期間的成核現象。因此,吾人可至少在某種程度上基於離特徵部開口夠遠以使接縫414將不影響CMP的距離而選擇凹槽深度。以下進一步說明用以執行凹槽蝕刻的方法。在464,之後於由裏朝外填充操作中以鎢來填充此特徵部。如上所述,由裏朝外填充包含在留在特徵部401內之蝕刻鎢403上進行選擇性沉積。此產生具有大、垂直定向晶粒成長的由裏朝外填充鎢層404。在466,終止由裏朝外成長並且在特徵部401之上沉積一或多個層465。層465可例如為接著層或阻障層。範例包含Ti、TiN、Ti/TiN、以及WN。鎢成核層可根據鎢在一或多個層465之曝露表面上成長得有多好,而然後被沉積在一或多個層465上。在某些實施例中,層465為鎢成核層。在468,接著沉積覆蓋鎢層405。雖然可能不以由下而上之成長方式來沉積覆蓋層405,但其將在CMP期間被去除,所以可不引起對於成核現象的擔憂。在CMP之後的特徵部401係描繪於470。除了不在CMP期間引起成核風險的鎢層404以外,層404的大晶粒以及被層404所填充的較大容積係提供改善的電特性。
參考圖2、2A、3A、3B、4A、以及4B所述的方法具有各種優點。例如,雖然在大部分內凹特徵部內可根據尺寸與幾何形狀而使用如以上所參照之美國專利申請案第13/351,970號所述的部分沉積-蝕刻-沉積循環來達成無空隙填充,但可能會需要多個沉積-蝕刻循環來達成無空隙填充。此可能會影響製程安定性與產量。在此例如就圖3A所述的實施例能夠以較少的循環來提供內凹特徵部的特徵部填充。
即使達成無空隙填充,特徵部內的鎢仍可能包含貫通穿孔之軸、溝渠之中間、或其他特徵部軸的接縫。此乃因為鎢成長係在側壁處開始並且持續直到晶粒與從相對側壁成長的鎢會合為止。此接縫可能會使像是氫氟酸(HF)的雜質困住,以及CMP成核現象亦可能從此接縫傳播開來。如圖3B、4A、以及4B所示,在此所述的由裏朝外填充法可消除或減少接縫。不像一般從側壁的鎢成長,由裏朝外填充法可促進從底部或內部鎢晶種層的垂直鎢成長(即,沿著特徵部之軸的成長)。因此,可避免接縫的形成,以提供下列優點,例如不沿著接縫困住CMP漿料、不使像是HF的氣體雜質困在接縫內、以及使位在裝置內之接縫處的電子傳輸損失降至最低。
特徵部內部的多個W晶粒之存在可能會因為晶粒邊界散射而造成電子損失。相較於理論預測與綜合晶圓結果,實際裝置性能將會被降低。參考圖2、2A、3A、3B、4A以及4B所述的方法可產生較少的晶粒邊界,以降低電阻並且改善性能。例如,參考圖3B,位在接縫314處的晶粒邊界係被消除。在某些實施例中,存在於層303中的垂直定向晶粒可在後續選擇性沉積操作中持續成長,以減少晶粒邊界的數量。
在特徵部尺寸為極小的情況下,調整蝕刻製程而不影響底層襯墊/阻障的完整性可能係非常困難的。在某些情況下,可能會在W-選擇性蝕刻期間發生間歇性鈦攻擊-此可能係起因於在蝕刻期間的鈍化TiFx層之形成。因此,不依靠選擇性蝕刻的方法可避免間歇性鈦攻擊以及選擇性蝕刻小特徵部的挑戰。在某些實施例中,例如圖4A與4B所繪的方法,係去除例如鎢阻障與襯墊的底層。確切而言,鎢蝕刻量不必為了避免危及襯墊/阻障完整性而被嚴格控制。此對於具有非常薄之鎢膜的極小特徵部可以係有用的。例如,在襯墊為鈦的情況下,即使蝕刻製程為對鈦或氮化鈦極具選擇性的蝕刻,仍可能會發生鈦膜的氟攻擊。藉由將鈦去除,可防止氟對鈦膜的攻擊。
又,若金屬接點的明顯部分被鎢阻障或其他底層(TiN、WN等等)耗盡,此可能會使電阻增加。此係因為這些膜具有比鎢更高的電阻係數。此可能會對像是接觸電阻的電特性造成負面影響。例如,在像是2x與1x nm接點的極小特徵部中,此接點的明顯部分可被具有比鎢要高得多之電阻係數的阻障材料(TiN、WN等等)耗盡。藉由蝕刻此阻障並且使用此容積來成 長鎢,可預期改善的電性能。
在某些實施例中,由裏朝外填充法可包含改善的製程控制與再現性,因為其可使用保形蝕刻製程,而不使用在特徵部開口處優先進行蝕刻的微調蝕刻製程。在某些製程條件下,可在穿孔、溝渠-線、以及其他特徵部內部成長單晶粒、無接縫、以及由裏朝外的鎢。以下參考圖15、16、17、以及19來說明由裏朝外填充法的進一步範例與優點。
依照各種實施例,在此所述的方法可包含使用選擇性或非選擇性的蝕刻。這些方法可使用調整蝕刻選擇度以使蝕刻係以各種底層上方之W為優先或非優先的方法。例如,這些方法可使用以TiN與Ti上方之W為優先、或以W上方之TiN與Ti為優先、或為非優先的蝕刻。
在某些實施例中,蝕刻特徵部填充材料包含下游(遠端產生之)以F為基礎的電漿。圖5A與5B顯示在供應至遠端電漿源之20sccm三氟化氮(NF3)下作為溫度函數的鎢(W)、氮化鈦(TiN)以及鈦(Ti)蝕刻速率與W:Ti及W:TiN蝕刻選擇度。如在這些圖式中所觀察到,可藉由控制溫度而調整W:TiN與W:Ti的蝕刻選擇度,隨著溫度降低,蝕刻會變成以W為優先。增加溫度亦可提供以W上方之TiN與Ti為優先的蝕刻。圖5C與5D顯示在50sccm下的溫度效果。這些圖表顯示可調節溫度與流率而調整蝕刻選擇度。進一步資訊係描述於美國專利案第13/536,095號中,其申請於2012年7月28日並且藉由參考文獻方式合併於此。如在此所述,可控制溫度、蝕刻劑流率、以及其他參數而提供從<0.5:1分佈到>100:1的W:TiN與W:Ti蝕刻選擇度。例如,可在小於100℃或75℃的溫度下使用遠端產生的氟自由基來執行對TiN上方之W具有選擇性的蝕刻。同樣地,可在較高的溫度下執行非選擇性蝕刻。
凹槽蝕刻與蝕刻階梯覆蓋調變
在某些實施例中,於此所述的方法係提供在已填充之特徵部內將隨同垂直凹槽蝕刻一起的橫向蝕刻與期望程度之保形性相結合的能力。對於像是埋入式字元線(bWL,buried word line)以及一體柱狀儲存器(OCS,one cylinder storage)的某些新技術與應用,可僅對特徵部的下部分填充鎢,而就上部分來說,開放容積則允許以不同的材料來填充。圖6顯示具有凹陷鎢層603之特徵部601的一範例。凹陷層603係從開口602凹陷,凹陷深度D 實質上均勻橫過特徵部601。由於標準W-CVD為保形沉積製程以及鎢係橫向地從側壁成長到特徵部內,所以此種輪廓係難以使用標準W-CVD製程來加以達成。在某些實施例中,可以另一材料來填充開放容積605。除了其中僅特徵部之下部分以鎢填充的bWL與OCS應用以外,凹陷鎢層可被使用作為特徵部內之由裏朝外填充的晶種。以上就圖4B來說明一範例。
在像是3-D NAND與垂直積體化記憶體(VIM,vertical integrated memory)裝置的情況下,鎢填充係被預期位在夾止點位置處以及在夾止點位置外。在此種應用中,例如起因於WF6與H2分子或其他反應物間之反應的橫向鎢成長在夾止點位置係防止WF6與H2擴散到夾止點外的較廣區域而造成空隙化。在此所提供的方法可克服此種空隙化。在某些實施例中,兩種方法之一或兩者可被一起或分開使用。一方法包含使空隙形成,然後以可或可不對底層具有選擇性的蝕刻製程進行垂直蝕穿,開啟空隙並且以鎢進行重新填充。另一方法包含部分鎢沉積,之後謹慎地調整蝕刻條件以達成期望程度的蝕刻保形性,以使鎢在夾止點處比在夾止點外被蝕刻掉更多。可能引入輪廓(potential incoming profile)與結果鎢填充的某些範例係顯示在圖1C中。此兩種方法的任一者或兩者可與以上就圖2-4B所述的由裏朝外填充法一起使用。例如,圖3A與4A係描繪其中空隙被開啟以及以鎢重新填充特徵部的方法。在另一範例中,圖3B與4B係描繪可使用部分沉積-蝕刻-沉積方法的初始無空隙保形填充。此外,在任何由裏朝外填充法中的選擇性沉積可包含沉積-蝕刻-沉積技術,於其中調整蝕刻保形性以塑造出由裏朝外鎢成長。可根據既有空隙、晶粒定位以及特徵部幾何形狀而在特徵部內垂直地(沿著特徵部軸)或橫向地(與特徵部軸正交,朝向側壁)進行凹槽形成。
如上所述,W-CVD成長係位在從側壁的橫向方向上。為了達成如圖6所示的最終輪廓,在某些實施例中,此結構係完全以W-CVD所填充且之後進行垂直蝕刻以產生凹槽或開放容積的結構。在某些實施例中,蝕刻條件可為具選擇性,以僅對W進行蝕刻而避免對底層材料進行蝕刻。例如,圖7顯示使用標準CVD-W製程以鎢702所填充的特徵部701。執行凹槽蝕刻以形成凹陷鎢層703與開放容積705。例如,可藉由WN或其他材料來填充開放容積705。在某些實施例中,可在一個、二個、或更多蝕刻操 作中執行凹槽蝕刻。例如,在第一操作中,進行快速的製程以去除在場地區域720內的鎢,之後進行受到更細微控制的製程以在區域722內進行蝕刻並且控制凹槽深度。在一範例中,可使用較高的溫度、較高的蝕刻劑流率以及(針對以電漿為基礎的蝕刻而言)較高的電漿功率來執行較快速的製程。對於較快速的蝕刻,示範的蝕刻速率可介於10Å/sec-50Å/sec之間。可使用較低的蝕刻劑流量、以及(針對以電漿為基礎的蝕刻而言)較低的電漿功率來完成較慢、更受控制的製程。根據關於底層的期望蝕刻選擇度,在受控制之蝕刻期間的溫度可或可不低於在較快速之蝕刻期間的溫度。對於受控制的蝕刻,示範的蝕刻速率可介於3Å/sec-20Å/sec或3Å/sec-10Å/sec之間。
在某些實施例中,類似的凹槽蝕刻可有助於在像是圖1C所示的結構內達成填充。對於在圖1C之圖像(a)中的最簡單情況,縊縮部109將會在特徵部的下部分119內引起嚴重的空隙化。在某些實施例中,克服此種空隙化包含進行深蝕刻直到空隙被開啟而允許以W-CVD重新填充空隙為止。在某些情況下,因為特徵部尺寸,所以深蝕刻對於此結構之頂部分121可為橫向(朝向側壁)以及在縊縮部109內可為垂直(在特徵部軸的方向上)。在某些實施例中,多個沉積-蝕刻循環可用以在整體上達成完整的填充。圖8說明一可能的順序。
圖8顯示對包含縊縮部851之特徵部801進行填充的順序。吾人應注意到特徵部801可為水平定向特徵部(例如圖4A中的字元線特徵部401)或垂直定向特徵部。特徵部801包含底層813與819。在810,顯示使用標準CVD-W的特徵部填充。吾人注意到此係類似於圖4B所示之使用標準CVD-W之特徵部401的填充;鎢802填充具有明顯空隙812的特徵部,此空隙係存在於特徵部801內並且通過縊縮部851。
於如在此所述的一方法中,填充可起始於操作820,進行保形沉積以部分地填充特徵部,此包含將空隙812夾止。操作820可包含在底層813上進行保形鎢成核層沉積,之後進行CVD沉積直到縊縮部851被填充為止,藉以將空隙812夾止。如上所述,可基於縊縮部851的尺寸以及鎢沉積速率而決定沉積終點。在此階段,此方法係類似於在圖4A中所述者。接著,在操作830執行鎢蝕刻,以去除沉積在縊縮部851與特徵部801的 開口之間的鎢。不像圖4A之範例所描繪的方法,本範例中的蝕刻係對底層813上方的鎢具有選擇性,以使底層813作為蝕刻中止層。在某些實施例中,於830所執行的蝕刻為如上所述的凹槽蝕刻,凹槽深度係以849加以標示。此蝕刻可在操作840繼續,於其中蝕刻通過縊縮部851,以去除位於特徵部之下部分852內的鎢直到空隙被重新開啟為止。在某些實施例中,包含剩餘鎢層803的特徵部尺寸可使得後續保形填充被執行而不在操作850中形成空隙。假使例如這些尺寸可使得縊縮部851大約相同於或寬於特徵部801之下部分852內的最窄尺寸,則後續保形沉積可用於無空隙填充。
在某些實施例中,於操作840中,從縊縮部851完全將鎢去除而不進行後續成核層之沉積,以進一步在後續操作中促進特徵部之下部分852的無空隙填充。在此情況下,鎢可選擇性地沉積在特徵部之下部分內的剩餘鎢803上。不像圖4B中的操作450,特徵部之下部分852內的選擇性沉積可在特徵部之下部分852內產生保形填充。在縊縮部內以及於某些實施例中在特徵部之上部分854內之鎢成核的選擇性抑制可被執行,以促進在特徵部之下部分852內的選擇性沉積。
在某些實施例中,在操作840之後,為了填充之改善,可執行一或多個額外沉積-蝕刻循環。若執行的話,一或多個額外沉積-蝕刻循環可包含重複操作820-840一或多次。在某些其他實施例中,可如在以下進一步所述地執行非保形蝕刻,以修改後續沉積的特徵部輪廓。
在圖8之範例中,於850,執行保形沉積以完成無空隙特徵部填充。此可包含之後為鎢主體層CVD沉積的鎢成核層保形沉積,並且如上所述,此可在操作820-840的一次迭代之後或在一或多個額外蝕刻及或沉積操作之後被直接執行。
在更為複雜的幾何形狀中,頂部分的輪廓可以像圖1C之圖像(b)與(c)所示的範例一樣為內凹。因為各種原因,內凹區段可靠近於表面/場地(參見例如圖像(b)中的突出部115)或位於特徵部內部的更深處(參見例如圖像(c)中的縊縮部112)。在這些情況下,可按照如圖8所示的相同順序而達到倒數第二個步驟。然後可執行非保形蝕刻而在幾乎不對下方進行蝕刻的情況下僅於夾止區段處優先進行蝕刻。
非保形蝕刻的樣態係描述在美國專利申請案第13/351,970號(此 乃藉由參考文獻方式合併於此)中,於其中以鎢來對穿孔進行部分填充,之後進行以氟為基礎的鎢蝕刻,以使鎢在開口附近比在特徵部之更內部處被蝕刻掉更多。此之後可為用以填充特徵部的鎢沉積。(吾人應注意到因為在特徵部的某些位置比在其他位置有更多的材料被去除的事實,所以適當地將美國專利申請案第13/351,970號中的非保形蝕刻稱為「選擇性去除」。如在此所述的選擇性去除係與上述位於另一者上方之一材料的選擇性蝕刻有所區別。)非保形蝕刻亦可被稱為優先或低階梯覆蓋蝕刻。為了獲得優先(或低階梯覆蓋)蝕刻,蝕刻製程條件被謹慎地設計。正確蝕刻溫度、蝕刻劑流量以及蝕刻壓力的組合可有助於達成期望的保形性。若對每一類型的內凹結構未正確地調整蝕刻保形性,則此可能甚至在沉積-蝕刻-沉積順序之後造成不良填充。
階梯覆蓋係與(可用於反應的反應物物種)/(反應速率)成比例。對於在此所述之特徵部蝕刻的部分實施例而言,理論蝕刻劑為原子氟,此可被簡化為: 因此,為了達成一特定的鎢蝕刻階梯覆蓋(或期望的蝕刻保形性或蝕刻非保形性),NF3流率(或其他含氟流率)與蝕刻溫度為關鍵參數,因其會直接影響原子氟的濃度與蝕刻速率。像是蝕刻壓力以及載氣流量的其他變數亦帶有若干重要性。
在較高的溫度下,引入的氟原子在特徵部入口處立即反應並且進行蝕刻,以產生較為非保形的蝕刻;在較低的溫度下,引入的氟原子能夠擴散並且蝕刻到特徵部的更內部,以產生較為保形的蝕刻。較高的蝕刻劑流率將會造成較多的氟原子產生,以使較多的氟原子擴散並且蝕刻到特徵部之中,以產生較為保形的蝕刻。較低的蝕刻劑流率將會造成較少的氟原子產生,其將會傾向於在特徵部入口處反應與蝕刻,以產生較為非保形的蝕刻。較高的壓力將會引起較多的氟自由基再結合以形成分子氟。分子氟具有比氟自由基更低的黏附係數(sticking coefficient),因此在蝕刻鎢之前更輕易地擴散到特徵部中,而造成較為保形的蝕刻。圖9A顯示在具有不同輪廓之特徵部901與902內的部分沉積與蝕刻的橫剖面示意圖。特徵部901 包含位於此特徵部中間的縊縮部951;而特徵部902則包含靠近特徵部開口的突出部915。標準CVD-W會分別因為縊縮部951與突出部915所引起的夾止而在特徵部內產生空隙。在較低溫度及/或較多蝕刻劑物種(在此範例中為氟自由基(F*))下,特徵部901的蝕刻係較為保形的蝕刻,以允許蝕刻劑物種擴散到特徵部的更內部。在較高溫度及/或較小蝕刻劑濃度下,特徵部902的蝕刻係較為非保形的蝕刻。
圖9B係關於不同NF3流量之作為蝕刻溫度函數的蝕刻速率的圖表。可藉由策劃出具有高NF3流率的低蝕刻速率製程而增加蝕刻保形性。在一範例中,標示「高度選擇性與高度保形蝕刻」的區域係顯示蝕刻(對Ti或TiN上方的W)具有選擇性並且對此特徵部整體為高度保形的製程條件。雖然所測試的最低蝕刻溫度與最高NF3流量分別為25℃與100sccm,但可藉由降低蝕刻溫度以及增加NF3流量(更多的原子F自由基)而達到反應速率限制體系以實現甚至更高的保形性。相反地,可藉由在以低NF3流量(較少的原子F自由基)達成高蝕刻速率的質量傳送限制體系中進行運作而增加蝕刻非保形性。例如,參見標示「輕度選擇性與高度非保形蝕刻」的區域。以下提供在質量傳送限制與反應速率限制體系中進行運作的進一步討論。
在某些實施例中,保形蝕刻可包含下列製程條件之其中一或多者:低於約25℃的溫度、高於約50sccm的蝕刻劑流量、以及大於約0.5 Torr的壓力。在某些實施例中,非保形蝕刻可包含下列製程條件之其中一者:高於約25℃的溫度、低於約50sccm的蝕刻劑流量、以及大於小於約2 Torr的壓力。期望等級的階梯覆蓋(例如60%階梯覆蓋)可包含調整這些製程條件的其中一或多者而使此製程約為保形。
可根據在特徵部內夾止的位置來修改蝕刻製程而達成期望的蝕刻階梯覆蓋。如進一步在下文中說明的圖10所述,可將非保形蝕刻製程加入圖8的順序中。埋入式字元線、一體柱狀儲存器、VNAND以及其他3D裝置係其中傳統W填充製程可能為不足而在此所述之方法能夠達成期望鎢輪廓的應用。又,藉由調整製程條件而修改蝕刻階梯覆蓋的能力對於在不同輪廓內達成良好填充係有用的。在以自由基為基礎的蝕刻中,低溫蝕刻體系使再結合物種(例如F2物種)的作用降至最低,並且以流量控制可使自由基(例如F自由基)用於非保形與保形蝕刻兩種情況。僅自由基的蝕刻亦較 具表面限制,因為相較於再結合物種,自由基不太可能擴散通過並開啟小接縫、或穿透針孔。此可使一系列用以蝕刻的新方法成為可能:例如不依靠部分填充,可封閉接縫,以及可深蝕刻覆蓋層。在另一範例中,可對埋入式字元線(bWL)中的鎢頂層進行蝕刻而不重新開啟接縫。這些方法可對各種操作提供在不同製程條件下的不同類型之蝕刻功能。
雖然於上文中係在以自由基為基礎之蝕刻的背景之下描述蝕刻保形性調變,但吾人亦可使用其他類型的蝕刻來調變蝕刻保形性。例如,溫度、壓力、流率、以及蝕刻劑物種可用以控制非電漿化學蝕刻。施加至基板的這些參數與任何偏壓可用以控制以離子為基礎的蝕刻。在一範例中,較高的功率偏壓可用以蝕刻到垂直定向特徵部的更內部。
在某些實施例中,非保形蝕刻可用以在以上就圖2-8所述之方法中的各種階段的沉積之前使鎢輪廓成型。圖10係一方法的範例,於其中在特徵部1001之下部分1052內達成無空隙填充之後,非保形蝕刻可被用在特徵部1001之上部分1054內的沉積。在1010,係描繪在橫向與垂直蝕刻循環後的鎢沉積之後的包含縊縮部區域1051、位於縊縮部區域1051上方之上部分1054、以及位於縊縮部區域1051下方之下部分1052的特徵部1001。位於縊縮部區域1051下方之特徵部1001的下部分1052為無空隙並且例如使用如圖8所述的製程以鎢進行填充。然而,空隙1012會因為縊縮部1053的存在而存在於上部分1054內。
在某些實施例中,不進行如1010所繪之特徵部1001的填充,而是填充可先包含在操作1020所執行的部分填充,以及在縊縮部1053下方之上部分1054的區域被夾止之前終止沉積。可藉由上述任何方法來完成下部分1052內的無空隙填充。在保形沉積製程中以鎢來部分填充上部分1054。接著於1030執行非保形蝕刻,而僅在縊縮部1053以及在此縊縮部上方進行蝕刻,以消除內凹輪廓。然後可使用保形沉積1040來完成無空隙特徵部填充。
因此,用以填充具有兩個位在不同特徵部深度之縊縮部的特徵部的一可能順序可為:(1)鎢成核+直到在下縊縮部處夾止以及在下縊縮部下方形成空隙為止的CVD主體沉積(參見例如圖8的操作820);(2)用以去除下縊縮部上方以及位於下縊縮部間之所有鎢並且重新開啟空隙的保形蝕刻 (參見例如圖8的操作830-840);(3)鎢成核+用於下縊縮部下方與在下縊縮部處之無空隙填充以及下縊縮部上方之部分填充的CVD主體沉積(參見例如圖10的操作1020);(4)用以使鎢輪廓在下縊縮部上方成型的下縊縮部上方非保形蝕刻(參見例如圖10的操作1030);以及(5)用以完成無空隙特徵部填充的保形沉積(參見例如圖10的操作1040)。所述之順序乃為如何可在特徵部填充期間的不同時刻使用蝕刻保形性調變以達成無空隙填充的一範例,而根據特徵部輪廓可能具有其他順序。除了蝕刻保形性調變以外,亦可在特徵部填充期間的不同時刻使用選擇性沉積與保形沉積,以控制沉積輪廓並且達成無空隙填充。
又如上所述,在某些實施例中,特徵部的選擇性鈍化可用以控制特徵部填充。例如,選擇性鈍化係描述在美國專利申請案第13/351,970號與第13/774,350號中(此兩者皆藉由參考文獻方式合併於此),並且參考圖11與12而進一步描述於下文中。
利用硼轉化的特徵部填充
在某些實施例中,特徵部填充可包含保形硼沉積,之後進行藉由硼的含鎢前驅物(例如WF6)之還原以形成鎢層。一示範反應為:WF6(g)+2B(s)→W(s)+BF3(g)圖13A顯示說明在此種填充特徵部之方法中的某些操作的流程圖。首先,在操作1310中,將薄保形硼層1325沉積在特徵部1301內的氮化鈦層1313上方。在操作1320中,例如藉由以上所提出的反應而使薄保形硼層1325轉化成鎢層1327。在1330與1340重複硼沉積與轉化操作以形成另一保形硼層1325,其之後被轉化成鎢,以使鎢層1327成長。可重複沉積與轉化反應直到以鎢1327完全填充此特徵部為止。使用沉積極為保形、小之晶粒與更為平滑之鎢的薄保形硼(或另一還原性材料)與轉化成鎢的多個循環,能夠減少可能使用造成大或不均勻之晶粒成長的CVD法而以其他方式形成的接縫。在某些實施例中,每一個循環可形成具有上至約10nm厚度的鎢層。可能存在與從硼轉化成鎢相關的體積增加。
可分解或反應以形成能夠使含鎢前驅物還原而產生元素鎢之層的任何含硼化合物可被用於操作1310以及後續硼沉積操作。範例包括硼 烷,其包含BnHn+4、BnHn+6、BnHn+8、BnHm,其中n為從1到10的整數,以及m為不同於n的整數。其他含硼化合物亦可被使用,例如烷基硼烷、烷基硼、胺基硼烷(CH3)2NB(CH2)2、例如C2BnHn+2的碳硼烷(carboranes)、以及例如B2F4的硼烷鹵化物。
在某些實施例中,層1325可為能夠使包含矽或含矽材料、磷或含磷材料、鍺或含鍺材料、以及氫之鎢前驅物還原的任何材料。可被用來形成此種層的示範前驅物包含SiH4、Si2H6、PH3、SiH2Cl2、以及GeH4。以下參考圖18來說明在鎢特徵部填充中使用硼轉化的另一範例。
參考圖13A所述的方法係不同於使用二硼烷或其他還原劑的習知ALD製程。此係因為所沉積的保形硼(或其他還原劑層)與結果鎢層係明顯厚於在習知ALD法中所沉積者。例如,每一硼層1325的示範厚度可從約1.5nm分佈至10nm,或在某些實施例中為3nm到10nm,或5nm到10nm。
可藉由能夠在各種製程條件下轉化成鎢的最大厚度來決定厚度的上限。對於在300℃到400℃以及40 Torr下使用WF6的轉化,被發現到約10nm的限度。最大厚度可根據溫度、壓力、固體還原劑、以及鎢前驅物而變化。例如,使用較高的壓力及/或溫度可使上至100nm的還原劑層轉化。在某些實施例中,每一個硼(或其他還原劑)層的厚度可介於約5nm到50nm或10nm到50nm。
在某些實施例中,在轉化成鎢時所發生的體積膨脹係特別有助於填充。例如,從還原劑轉化成鎢的每一個層可比還原劑層增加厚約5%。
在某些實施例中,可使用硼作為蝕刻中止層而執行鎢填充。在某些實施例中,成為鎢的轉化可被限制在約10nm,此可允許部分成為鎢的轉化,之後進行對硼上方之鎢具有選擇性的蝕刻,以修改特徵部填充。圖13B係說明在將硼層部分轉化成鎢並且使用作為蝕刻中止層之方法的一範例中之操作的流程圖。此方法係起始於在特徵部內進行保形硼沉積(1302)。依照各種實施例,保形硼沉積可在特徵部內的初始鎢沉積之前或之後發生。在各種實施例中,例如,使硼形成在阻障或襯墊層表面、鎢表面、或這些表面的組合上。方塊1302可包含使特徵部曝露於含硼化合物。在某些實施例中,含硼化合物經歷熱分解而在特徵部表面上形成元素硼(B)或含硼層。此 硼層亦可藉由適當的化學反應而加以沉積。示範的含硼化合物係提出於上文中。
若使用熱分解來沉積硼(或其他保形材料),那麼方塊1302中的溫度係高於分解點。例如,對於B2H6而言,此溫度係大於250℃。作為範例,已成功地在300℃、375℃、及395℃與40 Torr下,以450sccm的流量將B2H6用於保形硼沉積,然而吾人亦可使用不同於此的流率、溫度、以及壓力。方塊1302可包含使含硼化合物持續流動或產生脈衝直到形成期望的硼厚度為止。
接著,使所沉積的硼部分轉化成鎢而留下一部分的硼膜剩餘物(1304)。方塊1304通常包含使硼層曝露於含鎢前驅物蒸氣,其將與此前驅物蒸氣反應而留下元素鎢。六氟化鎢氣體與固體硼之間的反應的一範例係提出於上文中。溫度將使得自發反應發生。舉例來說,已成功地在例如40 Torr、300℃及395℃下、以400sccm的流率將六氟化鎢用於成為鎢的轉化。被轉化之硼的量可取決於流率、壓力、溫度以及含鎢前驅物的流動持續時間。然而,成為鎢的轉化可被限制在約10nm。因此,在某些實施例中,若在方塊1302中形成大於10nm的硼,則僅大約上至最多10nm的硼係被轉化成鎢而留下硼-鎢雙層。
接著,相對於硼而對鎢進行選擇性蝕刻(1306)。在某些實施例中,硼係作為蝕刻中止層。以此方式,可修改特徵部填充。例如,硼層可被使用而類似於圖3A、3B、8以及10所示作為特徵部填充中之蝕刻中止層的底層。不像這些底層,在某些實施例中,剩餘的硼可在蝕刻製程之後被轉化成鎢(1308)。以此方式,更多的特徵部會被較低電阻係數材料所佔據。在某些實施例中,於方塊1302中使硼形成至不大於約20nm的厚度,以使其可在兩個轉化操作中完全被轉化成鎢,每一個轉化操作將上至約10nm厚的硼層轉化成鎢。同樣地,在某些其他實施例中,可使硼形成至不大於n×10nm的厚度,其中n為待執行之蝕刻操作的次數。以下參考圖19來說明如參考圖13B所述之製程的一範例。
控制使用硼來作為蝕刻中止層的蝕刻選擇度可包含調整溫度、流率、以及例如上述關於W:Ti與W:TiN蝕刻選擇度的其他參數。在一範例中,硼作為使用25℃以F為基礎之遠端電漿蝕刻的蝕刻中止層,此蝕刻 係使用NF3→NFx+F*化學性質。在此溫度下,W選擇性蝕刻得比B更快,此可能與B+3F*→BF3 vs.W+6F*→WF6反應的熱力學有關。其他類型的蝕刻與蝕刻化學性質可被調變。
替代硼或除了硼以外,圖13B所述的方法亦可與其他固體層一起使用。舉例而言,如以上就圖13A所述,矽或含矽材料、磷或含磷材料、鍺或含鍺材料可被沉積並且經由與含鎢前驅物的反應而被部分轉化成鎢。吾人應注意到雖然對於使用特定製程條件的WF6已觀察到約10nm的轉化限度,但對於其他含鎢化合物及/或其他還原劑則可根據實驗或理論來決定轉化限度。因此,可調整在此所述的方法,以在還原劑部分轉化成鎢之前沉積更多或更少的還原劑。
利用無氟鎢(FFW)以及無氟氮化鎢(FFWN)的特徵部填充
圖13C與13D係顯示在特徵部填充中使用無氟層的範例中之某些操作的流程圖。鎢與鎢前驅物中的氟(F)可能會在進一步積體化操作期間反應而形成高度反應性的氫氟酸(HF)。例如,HF可侵蝕氧化物堆疊中的氧化物,或者以其他方式負面地影響積體化。
圖13C顯示於其中無氟氮化鎢層可被沉積在特徵部內然後轉化成無氟鎢層的一範例。首先,在特徵部內沉積無氟氮化鎢層(1352)。在某些實施例中,藉由熱ALD或PNL製程來沉積此氮化鎢層,於其中(以各種順序)使還原劑、含鎢前驅物、以及含氮反應物產生脈衝,以在特徵部上形成保形氮化鎢層。用以沉積氮化鎢膜之ALD與PNL製程的範例係描述在美國專利案第7,005,372號以及美國臨時專利申請案第61/676,123號中,該兩者皆藉由參考文獻方式合併於此。
為了沉積無氟層,通常所有的反應物皆為無氟。在某些實施例中,此含氮化合物作為還原劑,如此則可或可不使用個別的還原劑。在某些實施例中,含鎢前驅物亦可包含氮,如此則可或可不使用個別的含氮化合物。
可被使用之無氟鎢前驅物的範例包含W(CO)6與有機鎢前驅物,例如W2(NMe2)6、W(OEt)6、W(OnPr)6、(tBuN=)2W(NMe2)2、(tBuN=)2W(NEtMe)2、W(Cp)2H2、W(NEt2)2(NEt)2、W(iPrCp)2H2、(tBuN=)2W(HNMe)2、W(EtCp)2H2及其衍生物。進一步的範例則包含乙基環戊二 烯基-二羰基亞硝醯基-鎢(EDNOW,ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten)、甲基環戊二烯基-二羰基亞硝醯基-鎢(MDNOW,methylcyclopentadienyl-dicarbonylnitrosyl-tungsten)、以及(乙基環戊二烯基)三羰基氫化鎢(ETHW,(ethylcyclopentadienyl)tricarbonylhydridotungsten),其可從Praxair購得,並且包含具有下列結構的雙(烷基亞胺基)雙(烷基胺基)鎢(tungsten bis(alkylimino)bis(alkylamino))化合物: 其中每一個R可獨立選自於甲基、乙基、丙基、丁基以及三級丁基。這些基團可經取代或未經取代,然而其一般係未經取代。例如,此含鎢前驅物為雙(三級丁基亞胺基)雙(二甲基胺基)鎢(W[N(C4H9)]2[N(CH3)2]2)。
還原劑的範例包含硼烷、矽烷、H2、NH3、N2H4、N2H6、及其組合。含氮化合物的範例包含N2、NH3、N2H4以及N2H6。在某些實施例中,所沉積的膜為具有相對少量之碳的WN膜,例如少於約5原子%或少於約2原子%的碳。在某些實施例中,替代或除了ALD或PNL法以外,用以沉積氮化鎢的CVD法可被用於方塊1352中。在一範例中,於方塊1352中,使用有機鎢前驅物或W(CO)6的熱ALD可用以沉積WN層而不夾止特徵部。
接著,使無氟氮化鎢轉化成無氟鎢(1354)。此通常係藉由下列方式加以完成:在至少約600℃的溫度下對氮化鎢進行熱回火經過例如介於約5秒與120秒之間的一段時間,以使氮化鎢膜中的氮以氮氣(N2)形式離開。在某些實施例中,執行方塊1352而不使特徵部封閉,以提供所揮發之N2氣體的流動路徑。例如,在夾止點或縊縮部留下至少約1到2nm的開口可允許此回火將實質上所有的氮化鎢轉化成鎢。
可選地,一旦氮化鎢膜被轉化成鎢之後,可沉積另外的無氟鎢或氮化鎢膜,以封閉任何縊縮部及/或完成特徵部填充(1356)。以上就方塊1352來描述無氟氮化鎢膜的沉積。可使用熱ALD或PNL製程來執行無氟鎢膜的沉積,於其中使還原劑與無氟含鎢前驅物產生脈衝,以在特徵部上形成 保形鎢層。依照各種實施例,在使用無氟前驅物以及還原劑時,於此所述包含由裏朝外填充、凹槽蝕刻、蝕刻保形性調變、以及硼轉化的一或多種其他技術可用以在某些實施例中完成無空隙特徵部填充。在某些其他實施例中,可以無氟WN或W層來敷蓋特徵部,並同時在特徵部內留下空隙。此敷蓋層可用以封閉在方塊1352中所留下的氣體流動路徑。若在方塊1356中沉積無氟氮化鎢層,其後可或可不進行熱回火以使其轉化成元素鎢。例如,在某些實施例中,其可不特別有利於使薄WN層(例如5Å)轉化成W。以下參考圖22來說明依照圖13C之製程的一範例。
圖13D係說明其中無氟含鎢層可用以密封使用含氟氣體所沉積之鎢層之方法的一範例中的某些操作的流程圖。首先,以使用含氟化合物所沉積的鎢層來部分填充特徵部(1362)。方塊1362可包含沉積鎢成核層,之後使用例如六氟化鎢的前驅物來沉積主體鎢層。執行部分填充,使特徵部開口保持開啟。在方塊1364中,此允許HF氣體被抽出特徵部,以及在某些實施例中,在方塊1368中,則可允許在此層上沉積無氟層。
接著,將產生如反應副產物或以其他方式存在於特徵部內的任何HF(或其他含氟氣體)抽出(1364)。在某些實施例中,部分的氟可能會存在於剩餘的鎢膜中。此鎢膜可使用無HF製程加以密封,例如沉積如上所述之無氟鎢或氮化鎢層或使用協同無氟鎢前驅物的硼轉化。依照各種實施例,可以無氟膜來密封任何縊縮部及/或可以無氟膜來覆蓋在方塊1362中所沉積之鎢膜的任何曝露表面。此可防止存在於此膜中的任何氟在積體化期間形成氫氟酸。就圖13D所述的方法對於在特徵部內利用以氟為基礎之製程來有效沉積大部分鎢可以係有用的,並同時可防止任何剩餘的氟影響後續的積體化。
依照各種實施例,在方塊1368中所沉積的膜可封閉任何縊縮部及/或完成特徵部填充。依照各種實施例,當使用無氟前驅物以及還原劑時,在此所述包含由裏朝外填充、凹槽蝕刻、蝕刻保形性調變、以及硼轉化的一或多種其他技術可在某些實施例中用以完成無空隙特徵部填充。
雖然以上參考圖13C與13D所述的方法係關於無氟鎢與氮化鎢,但其可被概括為無鹵素鎢與氮化鎢膜。同樣地,在方塊1362中所沉積的鎢可使用例如WCl6的含鹵素前驅物來加以沉積。
特徵部填充範例
現在將就VNAND字元線(WL)填充的背景來說明本發明之實施樣態。然而,以下說明係提供各種方法的架構,所以這些方法不被限制並且亦可在邏輯與記憶體接點填充、DRAM埋入式字元線填充、垂直積體化記憶體閘極/字元線填充、以及具有矽穿孔(TSVs)之3-D積體化的其他應用中實現。以下所述的製程可用於包含一或多個縊縮部並且包含鎢穿孔與溝渠填充的任何水平或垂直定向結構。
上述圖1F係提供待填充之VNAND字元線結構的一範例。如上所述,這些結構的特徵部填充可能會引起數個挑戰,其包含因放置支柱所引起的縊縮部。此外,高特徵部密度可能會造成負載效應(loading effect),以致使反應物在完成填充之前即被耗盡。以下說明關於位在整個WL間之無空隙填充的各種方法。在某些實施例中,沉積低電阻係數鎢。又,在某些實施例中,此膜具有低F含量,而不具有HF圍困的情況。在某些實施例中,可不完全填充特徵部,而在填充到達特徵部開口之前終止特徵部填充。一範例係顯示在圖17中的1740。
圖14顯示在特徵部內保形沉積操作與具有高W:TiN蝕刻選擇度的蝕刻交替進行的順序。特徵部1401包含縊縮部1451、以及可從兩末端1455進入的內部區域1452。如以上就圖1G所述,圖14可被視為3-D結構的2-D繪圖,此圖式係顯示待填充之區域的橫剖面圖,以及縊縮部1451係表示出自可在平面圖中而非在橫剖面圖中觀看到之支柱的縊縮部。以上圖1E與1F提供可如何排列支柱的額外說明。此特徵部包含底層1413,在圖14的範例中其為TiN層,然而其可為任何底層。此順序起始於1410,進行鎢保形沉積以填充此特徵部,而在此特徵部的內部中留下空隙1412。
舉例來說,如以上參考圖2、7或8所述,接著在1420執行對底層TiN上方之W具有選擇性的蝕刻,以在特徵部內留下鎢1403。剩餘鎢1403在特徵部內部1452中提供較接近位於縊縮部1451處之尺寸的特徵部尺寸。此允許減少或消除後續保形沉積中的空隙形成。例如,在1430,於另一保形沉積中,形成兩個空隙1414,這些空隙係比在1410所形成之空隙1412更小並且更接近特徵部末端1455。在1440所執行的選擇性蝕刻可開啟空隙1414,以使特徵部輪廓重新成型,因此不重新進入特徵部。在1450 的最終保形沉積可提供字元線的無空隙填充。吾人應注意到在所描繪的範例中,沉積操作為保形,以及可包含特徵部內之保形成核層的沉積。在蝕刻之後所留下之殘留W的精確輪廓可依照特定的實施例而變化。
舉例而言,圖15顯示類似於圖14的順序,但其係利用選擇性而非保形的沉積,以提供如以上參考圖3A-4B所述的由裏朝外填充。此製程起始於1510,進行例如PNL成核層加上CVD操作的保形沉積,以夾止此特徵部。接著,在1520執行對W具有選擇性的蝕刻以開啟縊縮部,而留下蝕刻鎢層1503。然後執行鎢的選擇性沉積(一般不具有新的保形成核層),以達成由裏朝外填充並且通過縊縮部。用以填充特徵部的內部區域1555然後通過縊縮部1551之CVD操作的進行係分別顯示在1530與1540。在所描繪的範例中,在1550,保形沉積可用以完成填充。保形沉積可包含在特徵部之未填充末端部分內沉積鎢成核層,之後進行主體沉積。在某些實施例中,圖15中的初始沉積與蝕刻操作1510與1520可造成類似於圖14之操作1410與1420的輪廓(反之亦然)。又,在某些實施例中,在1450及/或1550用以完成填充的沉積可包含如上所述之選擇性去除操作及/或鈍化操作的其中一或多者。
圖16顯示圖15所示之由裏朝外成長製程的變形例。類似於圖15中的操作1510,此製程起始於1610,進行例如PNL成核層加上CVD操作的保形沉積,以夾止此特徵部。接著,在1620執行對W具有選擇性的蝕刻以開啟縊縮部,而留下蝕刻鎢層1603。然後在1630執行鎢的選擇性沉積(一般不具有新的保形成核層),以達成由裏朝外填充並且通過縊縮部。蝕刻鎢層1603的輪廓係不同於在圖15中之1520所形成者,此可能會影響選擇性沉積的進行。然而,在兩範例中,蝕刻鎢層係作為後續CVD的晶種層並且促進由裏朝外填充。在1640,保形沉積可用以完成填充。此可包含如以上在某些實施例中所述之選擇性去除操作及/或鈍化操作的其中一或多者。
圖17顯示使用選擇性與非選擇性W/TiN蝕刻的順序。首先在1710保形地於特徵部內沉積膜而留下空隙1712。在1720,初始選擇性蝕刻可用以蝕刻到夾止點內,之後在1730進行對W與TiN不具有選擇性的蝕刻。在1740,剩餘的膜可被使用作為W選擇性沉積的晶種層。
圖18顯示於其中硼層被轉化成鎢的順序。此方法可起始於1810,例如使用二硼烷或其他含硼前驅物,使硼吸附到基板上。厚度可接近於夾止點,例如10nm厚。如上所述,在某些實施例中,10nm可能近於在合理時間量內的鎢轉化限度。在1820,之後可藉由硼層來還原六氟化鎢或其他含鎢前驅物,以形成元素鎢。可存在與此轉化有關的厚度膨脹(基於原子體積的3.6%)。依照各種實施例,此轉化可或可不封鎖住縊縮部下方的特徵部。在某些實施例中,在此轉化期間不使用氫,而不使HF被困在特徵部內。在以硼轉化之鎢內的F濃度係低的。依照各種實施例,在不形成鎢成核層的情況下,可以鎢來填充特徵部。又,例如,在某些實施例中,如圖13A所示,可重複硼沉積與轉化操作。又,在某些實施例中,鎢轉化之後可進行一或多個保形或選擇性鎢沉積操作、或沉積-蝕刻-沉積操作,以完成特徵部填充。
圖19顯示包含成為鎢之硼轉化的另一順序。在所描繪的範例中,交替地將硼使用作為用於蝕刻鎢的蝕刻中止層以及轉化成鎢。可蝕刻鎢而留下用於由裏朝外填充的起始層。一或多個保形或選擇性沉積或其組合可用以完成此填充。由於此轉化可自我限制(self-limiting)於大約10nm,所以在特徵部更深處的硼會處於未轉化並且可被使用作為蝕刻中止層。在圖19的範例中,此製程係起始於1910,在特徵部內進行初始硼層1925的保形沉積以封閉夾止點,而留下空隙1912。在上文中描述了可用以沉積硼之化合物的範例。在1920,然後使部分的硼層1925轉化成鎢層1927a。如上所述,在某些實施例中,使上至某限度(例如10nm)的硼轉化。此部分轉化會留下殘留硼層1925a。在1930,接著選擇性去除鎢層1927a,以留下硼層1925a。在1940,之後使硼層1925a部分轉化成鎢,以形成鎢層1927b以及殘留硼層1925b。在此範例中,硼被轉化而正好位於夾止點之間,俾使特徵部可在後續W-選擇性蝕刻中開啟。在某些其他實施例中,由於轉化的自我限制本質,所以可執行一或多個額外部分轉化/選擇性蝕刻,以開啟特徵部。選擇性去除鎢層1927b以及在後續操作中使剩餘硼層1925b轉化成鎢層1927c。鎢層1927c之後可為用以填充特徵部之保形沉積(例如位於圖16中者)或為用以填充特徵部之選擇性沉積(例如位於圖14中者)的基礎。
圖20顯示在美國專利申請案第13/774,350號(其乃藉由參考文獻 方式合併於此)中所描述的順序,於其中在夾止之前,於特徵部內部中使用非保形選擇性抑制來進行填充。所述之選擇性抑制技術可與在此所述之技術的其中一或多者一起使用。在圖20中,於2010,將鎢成核層2004保形地沉積在底層2013上。可使用如上所述的PNL製程。注意到在某些實施例中,可省略此用以沉積保形成核層的操作。接著,在2020,使特徵部曝露於抑制化學品以選擇性地抑制部分2006。在此範例中,選擇性地抑制位於支柱縊縮部2051之間的部分2006。舉例而言,抑制可包含對由例如N2、H2、形成氣體(forming gas)、NH3、O2、CH4等等之氣體所產生之直接(原位)電漿的曝露。以下進一步說明使特徵部曝露於抑制物種的其他方法。接著,在2030,執行CVD製程以依照抑制輪廓來選擇性地沉積鎢:優先地將主體鎢2008沉積在成核層2004的非抑制部分上,以填充在縊縮部後方的難填充(hard-to-fill)區域。在2040,之後以主體鎢2009來填充特徵部的其餘部分。用以選擇性沉積鎢的相同CVD製程可被使用於特徵部的其餘部分,或者使用不同化學品或製程條件及/或在沉積成核層之後所執行的不同CVD製程可被使用。
依照各種實施例,以上任何範例可依照各種實施例而使用保形或非保形蝕刻來修改特徵部填充。圖21顯示使用非保形蝕刻之特徵部填充的一範例。在圖21的範例中,於2110,可在特徵部內使用PNL成核加上CVD W來沉積薄鎢保形層2102。在2120,在此之後進行具有高選擇度的非保形蝕刻,以保護底層2113。例如,對於TiN底層,可執行如參考圖9B所述之具有高W:TiN選擇度的非保形蝕刻。此留下位在特徵部之內部2153的鎢層2102,並且去除特徵部末端2155附近的鎢層。在2130,在進行另一薄鎢層2103的CVD W沉積之後,進行另一非保形、W-選擇性蝕刻。在2140,可重複這些沉積-蝕刻-沉積操作以填充特徵部。依照各種實施例,每一後續沉積操作可或可不包含用於保形或選擇性沉積的成核層之沉積。在某些實施例中,例如在高電源功率下的成核延遲(鈍化)可在CVD W期間被使用,以抑制在此開口附近的成長。
在某些實施例中,無氟鎢與氮化鎢膜可用以減少在鎢特徵部填充中之以氟為基礎的處理與含氟副產物。圖22顯示於其中熱ALD無氟氮化鎢(FFWN)膜可在填充特徵部時被轉化成無氟鎢(FFW)之順序的一範例。此 順序起始於2210,如以上參考圖13C與13D所述,藉由熱ALD或PNL來進行FFWN層的沉積。沉積此FFWN層,而不完全夾止此結構的內部,以允許氮氣逸出。在2220,然後在熱回火期間使此FFWN轉化成FFW,以及使N2氣體離開。在所描繪的範例中,之後沉積FFWN或FFW敷蓋層2208以封閉特徵部內部2253。根據敷蓋層2208的厚度,可執行熱回火以使FFWN敷蓋層2208轉化成FFW。在某些實施例中,敷蓋層2208可為夠薄,以忽略在填充鎢之特徵部中的氮量並且不執行回火。吾人應注意到在某些實施例中,敷蓋層2208可在特徵部內沉積此層之前封閉內部2253。不像以下參考圖23所述的順序,從在此製程中不使用氟的氟管理觀點來看,此係可被接受的。雖然圖22所示的順序係留下空隙2212,但在替代實施例中,可使用上述用以減少或消除空隙的一或多種技術。
圖23顯示於其中熱ALD無氟氮化鎢(FFWN)或無氟鎢(FFW)膜可被用於鎢特徵部填充以密封使用氟所沉積的層之順序的一範例。此順序起始於2310,使用含氟(或其他鹵素)化合物來進行鎢層2302的保形沉積。保形沉積可包含例如鎢成核層的沉積,之後進行使用六氟化鎢或六氯化鎢的主體沉積。於某些實施例中,在夾止之前終止沉積,使位在夾止點2351的接近側壁之間維持至少5-10nm。接著,在2320,執行抽氣操作以去除所有含氟副產物,例如HF。之後沉積FFWN或FFW層2308以覆蓋鎢層2302。在某些實施例中,此包含在特徵部的內部2353之中沉積層2308以協助防止存在於鎢層2302中的任何氟釋出。雖然圖23所示的順序係留下空隙2312,但在替代實施例中,可使用上述用以減少或消除空隙的一或多種技術。
依照各種實施例,在上述製程順序中所述的蝕刻可依照期望的蝕刻輪廓而為如以上就圖9A與9B所述的保形、輕度非保形或高度非保形。例如,用以開啟夾止特徵部的蝕刻可使用產生保形蝕刻的製程條件。
成核層沉積
在某些實施例中,在此所述的方法包含在主體層沉積之前的鎢成核層沉積。成核層一般為薄保形層,其促進於其上之主體含鎢材料的後續沉積。依照各種實施例,可在特徵部的任何填充之前及/或在特徵部之填充期間的後續時點上沉積成核層。例如,在某些實施例中,可在蝕刻特徵部 內的鎢之後沉積成核層。
在某些實施例中,使用脈衝成核層(PNL)技術來沉積成核層。在PNL技術中,相繼將還原劑、可選之清除氣體、以及含鎢前驅物的脈衝注入並且清出反應腔室。以循環方式重複此製程直到達成期望的厚度為止。PNL概括地體現為相繼將反應用之反應物添加在半導體基板上的任何循環製程,其包含原子層沉積(ALD)技術。沉積鎢成核層的PNL技術係描述在美國專利案第6,635,965、7,005,372、7,141,494、7,589,017、7,772,114、7,955,972以及8,058,170號、以及美國專利公開案第2010-0267235號中,其整體內容皆藉由參考文獻方式合併於此。成核層厚度可取決於成核層的沉積方法以及主體沉積的期望品質。一般來說,成核層厚度係足以維持高品質、均勻的主體沉積。範例可從10Å-100Å分佈。
雖然在上文中係提供PNL沉積的範例,但在此所述的方法並不限於鎢成核層沉積的特定方法,而是包含在藉由包括PNL、ALD、CVD、以及物理氣相沉積(PVD,physical vapor deposition)之任何方法所形成之鎢成核層上的主體鎢膜之沉積。此外,在某些實施例中,可在不使用成核層的情況下,直接在特徵部內沉積主體鎢。例如,在某些實施例中,特徵部表面及/或已沉積之底層維持主體鎢沉積。在某些實施例中,可執行不使用成核層的主體鎢沉積製程。例如,美國專利申請案第13/560,688號(申請於2012年7月27日,其藉由參考文獻方式合併於此)描述了不具有成核層之鎢主體層的沉積。
在各種實施例中,鎢成核層沉積可包含對例如六氟化鎢(WF6)、六氯化鎢(WCl6)、以及六羰鎢(W(CO)6)之含鎢前驅物的曝露。在某些實施例中,此含鎢前驅物為含鹵素化合物,例如WF6。亦可使用例如甲基環戊二烯基-二羰基亞硝醯基-鎢(MDNOW)以及乙基環戊二烯基-二羰基亞硝醯基-鎢(EDNOW)的有機金屬前驅物、以及無氟的前驅物。
還原劑的範例可包括含硼還原劑(包含二硼烷(B2H6)以及其他硼烷類)、含矽還原劑(包含矽烷(SiH4)以及其他矽烷類)、聯胺類(hydrazines)、以及鍺烷類(germanes)。在某些實施例中,含鎢前驅物的脈衝可與一或多種還原劑的脈衝交替,例如S/W/S/W/B/W等等,W表示含鎢前驅物,S表示含矽前驅物,以及B表示含硼前驅物。在某些實施例中,可不使用個別的 還原劑,例如含鎢前驅物可經歷熱或電漿輔助分解。
依照各種實施例,可或可不使氫在背景(background)中流動。又,在某些實施例中,在鎢成核層的沉積之後以及在鎢主體沉積之前可進行一或多個處理操作。例如,處理所沉積之鎢成核層以降低電阻係數被描述於美國專利案第7,772,114與8,058,170號以及美國專利公開案第2010-0267235號中,其乃藉由參考文獻方式合併於此。
主體沉積
在許多實施例中,鎢主體沉積可藉由CVD製程而發生,於其中使還原劑以及含鎢前驅物流入到沉積腔室內,以在特徵部內沉積主體填充層。可使用惰性載氣來輸送其中一或多個反應物流,此反應物流可或可不被預先混合。不像PNL或ALD製程,此操作通常包含使反應物持續流動直到沉積期望的量為止。在某些實施例中,此CVD操作可在具有藉由所轉向之一或多個反應物流動之週期所隔開之連續與同時反應物流動之多個週期的多個階段中進行。
包含但不限於WF6、WCl6、以及W(CO)6的各種含鎢氣體可被使用作為含鎢前驅物。在某些實施例中,含鎢前驅物為含鹵素化合物,例如WF6。在某些實施例中,還原劑為氫氣,但可使用其他還原劑,其包含矽烷(SiH4)、二矽烷(Si2H6)、聯胺(N2H4)、二硼烷(B2H6)以及鍺烷(GeH4)。在許多實施例中,氫氣被使用作為CVD製程中的還原劑。在某些其他實施例中,能夠使用可分解形成主體鎢層的鎢前驅物。亦可使用包含ALD製程的其他類型製程來進行主體沉積。
溫度的範例可從200℃分佈至500℃。依照各種實施例,在此所述的任何CVD W操作可使用例如在約250℃-350℃或約300℃下的低溫CVD W填充。
沉積可依照各種實施例進行直到達成特定的特徵部輪廓及/或沉積特定量的鎢為止。在某些實施例中,沉積時間與其他相關參數可藉由模擬及/或試誤法(trial and error)加以決定。例如,對於其中鎢可被保形地沉積在特徵部內直到夾止為止之由裏朝外填充製程的初始沉積而言,可基於特徵部尺寸來簡單決定將達成夾止的鎢厚度以及對應沉積時間。在某些實施例中,處理腔室可裝設有各種感測器以執行沉積操作之終點偵測的原位計 量量測。原位計量的範例包含用以判定所沉積之膜之厚度的光學顯微術以及X-射線螢光法(XRF,X-Ray Fluorescence)。
鎢蝕刻
可藉由使鎢曝露於可與鎢反應的一或多種蝕刻劑物種而執行蝕刻鎢。蝕刻劑物種的範例包含鹵素物種以及含鹵素物種。可用於去除含鎢材料之初始蝕刻劑材料的範例包含三氟化氮(NF3)、四氟甲烷(CF4)、四氟乙烯(C2F4)、六氟乙烷(C2F6)、八氟丙烷(C3F8)、三氟甲烷(CHF3)、三氟氯烷(CF3Cl)、六氟化硫(SF6)、以及分子氟(F2)。在某些實施例中,這些物種可被活化並且包含自由基及/或離子。例如,可使初始蝕刻劑材料流過遠端電漿產生器及/或遭受到原位電漿。在某些實施例中,可使鎢曝露於非電漿蝕刻劑蒸氣。
在某些實施例中,可使用遠端產生的電漿。此初始蝕刻劑材料以及在某些實施例中的惰性氣體(例如氬、氦以及其他),可被供應到任何適當的遠端電漿產生器。舉例而言,可使用下列遠端電漿單元,例如ASTRON® i Type AX7670、ASTRON® e Type AX7680、ASTRON® ex Type AX7685、ASTRON® hf-s Type AX7645,其皆可自MKS Instruments of Andover,Massachusetts購得。遠端電漿單元一般為使用所供應之蝕刻劑來產生弱游離化電漿的自給式(self-contained)裝置。在某些實施例中,高功率無線射頻(RF,radio frequency)產生器將能量提供給電漿中的電子。此能量之後被傳遞給中性蝕刻劑分子而引起2000 K等級的溫度,以使這些分子產生熱解離。遠端電漿單元可因為其高RF能量與特殊通道幾何形狀使此蝕刻劑吸附大部分的此能量,所以使大於60%的引入蝕刻劑分子解離。
在某些實施例中,來自遠端電漿單元而被輸送至其中執行蝕刻之腔室的活化物種為自由基並且實質上不包含離子物種。本領域中具有通常知識者將瞭解可存在有若干少量的離子物種,其並未對蝕刻產生作用。此量可小到無法被偵測。在某些實施例中,除了自由基物種以外,來自遠端電漿單元而被輸送至腔室的活化物種可包含大量的離子物種。
在某些實施例中,替代或除了遠端產生的電漿以外,蝕刻操作可使用在罩住基板之腔室中所原位產生的電漿,以使鎢曝露於直接電漿。在某些實施例中,無線射頻(RF)電漿產生器可用以在腔室中的兩個電極之間產 生電漿。電極的範例例如包含噴淋頭以及台座(pedestal)。在一範例中,吾人可使用能夠在介於約1MHz與100MHz之間的頻率下於約0W與10,000W之間進行供給的高頻率(HF,High Frequency)產生器。在一更具體的實施例中,此HF產生器可在約13.56MHz下於約0W到5,000W之間進行輸送。在某些實施例中,可使用能夠在介於約100kHz與2MHz之間、或介於約100kHz與1MHz之間(例如400kHz)的頻率下於約0與10,000W之間進行供給的低頻率(LF,Low Frequency)產生器。
此電漿產生器可為電容耦合電漿(CCP,capacitively coupled plasma)產生器、感應耦合電漿(ICP,inductively coupled plasma)產生器、變壓器耦合電漿(TCP,transformer coupled plasma)產生器、電子迴旋共振(ECR,electron cyclotron resonance)產生器、或螺旋波電漿產生器。除了RF源以外,可使用微波源。
依照各種實施例,部分或所有的蝕刻操作可在於其中執行包含沉積及/或處理操作之其他操作的同一腔室中執行,或者在專用的蝕刻腔室中執行。若使用專用的蝕刻腔室,則其可連接至一或多個其他處理腔室的相同真空環境,或者為個別真空環境的部分。舉例來說,例如可自Lam Research Corporation購得之Kiyo®導體蝕刻模組的TCP蝕刻模組可用在某些實施例中。可與此種模組一起使用的示範蝕刻劑包含NF3、CF4、SF6、CH3F、CH2F2、以及CF4。示範的操作壓力可從30 mTorr分佈到100 mTorr。示範的溫度可從30℃分佈到120℃。
在各種實施例中,執行蝕刻直到去除所沉積之鎢的某一特徵或者達成某一輪廓為止。例如,就上述由裏朝外填充技術來說,蝕刻可進行直到去除夾止鎢為止、或直到去除接縫為止。在某些實施例中,對於特定蝕刻製程參數的蝕刻終點可藉由對特定特徵部幾何形狀與輪廓以及被蝕刻之沉積鎢的量進行模擬及/或試誤法而加以決定。在某些實施例中,處理腔室可裝設有各種感測器以執行原位計量量測而確認去除的範圍。原位計量的範例包含用以判定膜厚度的光學顯微術以及XRF。又,紅外線(IR)光譜可用以偵測在蝕刻期間所產生之鎢氟化物(WFx)或其他副產物的量。在某些實施例中,底層可被使用作為蝕刻中止層。光學放射光譜(OES,optical emission spectroscopy)亦可用來監視蝕刻。依照各種實施例,鎢的蝕刻可以底層較為 優先或較為不優先(或非優先)。舉例來說,蝕刻可以W為優先,而例如Ti或TiN底層則係作為蝕刻中止層。在某些實施例中,可對W與Ti或TiN進行蝕刻,而下伏介電質則係作為蝕刻中止層。在上文中說明了用以調整相對於底層之蝕刻優先性(preferentiality)的方法。
又,依照各種實施例,可調變蝕刻操作的保形性。保形蝕刻係於整個特徵部將材料均勻去除的蝕刻。在上文中說明了調變蝕刻保形性的方法。在某些實施例中,調變蝕刻保形性可包含在或不在質量傳送限制體系中進行操作。在此種體系中,在特徵部內部的去除速率係受到擴散至特徵部內之不同蝕刻材料成分(例如初始蝕刻劑材料、活化蝕刻劑物種、以及再結合蝕刻劑物種)的量及/或其相關成分所限制。在某些範例中,蝕刻速率係取決於位在特徵部內部之不同位置的各種蝕刻劑的濃度。吾人應注意到「蝕刻」與「去除」等用語在本說明書中被可互換地使用。
如美國專利申請案第13/016,656號(其藉由參考文獻方式合併於此)所述,在某種程度上,質量傳送限制條件可藉由整體蝕刻劑濃度變化來加以特徵化。在某些實施例中,特徵部內部的濃度係小於其開口附近的濃度,以造成開口附近的蝕刻速率係高於內部的蝕刻速率。此接著造成選擇性去除。質量傳送限制製程條件可藉由(例如使用相應於空穴輪廓與尺寸的低蝕刻劑流率)將限量的蝕刻劑供應到處理腔室內而加以達成,並同時維持相對高的蝕刻速率,以在蝕刻劑擴散到特徵部內時消耗掉部分的蝕刻劑。在某些實施例中,濃度梯度係重要的,此可由相對高的蝕刻動力以及相對低的蝕刻劑供應所引起。在某些實施例中,開口附近的蝕刻速率亦可為質量限制,但此條件並非為達成選擇性去除所需。
除了高縱橫比特徵部內部的整體蝕刻劑濃度變化以外,蝕刻保形性可受到遍佈特徵部之不同蝕刻劑成分的相對濃度所影響。這些相對濃度接著會依蝕刻物種之解離與再結合過程的相對動力學而定。初始蝕刻劑材料一般會通過遠端電漿產生器及/或遭受到原位電漿,以產生活化蝕刻劑物種(例如氟原子、自由基)。然而,活化物種傾向於再結合成較不具活性的再結合蝕刻物種(例如氟分子)及/或與沿著其擴散路徑的含鎢材料產生反應。就其本身而言,所沉積之含鎢層的不同部分可曝露於不同濃度的不同蝕刻劑材料,例如初始蝕刻劑、活化蝕刻劑物種、以及再結合蝕刻劑物種。此 提供控制蝕刻保形性的額外機會。
例如,活化氟物種通常比初始蝕刻材料以及再結合蝕刻材料對含鎢材料更具有反應性。再者,活化氟物種通常可比再結合氟物種對溫度變化更不具敏感性。因此,在某些實施例中,可利用此種將去除主要歸因於活化氟物種、主要歸因於再結合物種、或包含氟與再結合物種兩者的方式來控制製程條件。再者,特定的製程條件可產生活化氟物種,其在特徵部之開口附近的濃度係比在特徵部內部更高。舉例而言,部分的活化物種在擴散到特徵部(尤其係小的高縱橫比特徵部)的更深處內時可被消耗(例如與所沉積的材料反應及/或吸附在此材料的表面上)及/或再結合。吾人應注意到活化物種的再結合亦發生在高縱橫比特徵部的外部,例如處理腔室的噴淋頭中,並且取決於腔室壓力。因此,可控制腔室壓力而調整位在腔室與特徵部之各點的活化蝕刻物種的濃度。
蝕刻劑的流率一般係取決於腔室的尺寸、蝕刻速率、蝕刻均勻性、以及其他參數。例如,可利用此種在開口附近比在特徵部內部蝕刻掉更多含鎢材料或者在整個特徵部或部分特徵部均勻地去除含鎢材料的方式來選擇流率。例如,對於每站之195-升腔室的流率可介於約25sccm與10,000sccm之間,或者在更具體的實施例中,可介於約50sccm與1,000sccm之間。在某些實施例中,此流率係小於約2,000sccm,小於約1,000sccm,或更具體來說係小於約500sccm。吾人應注意到這些數值係針對用於處理300-mm晶圓基板的一個單獨的站而提出。這些流率可根據基板尺寸、此設備中的站數(例如對於四站設備為四倍)、處理腔室容積、以及其他因素而按比例放大或縮小。
可利用此種不僅在沉積層與各種蝕刻劑物種之間引發化學反應並且控制兩者間之反應速率的方式來選擇基板的溫度。例如,可選擇溫度以獲得高去除速率而在開口附近比在特徵部內部去除掉更多的材料,或者獲得低去除速率而從特徵部內去除材料。再者,亦可選擇溫度,以控制活化物種的再結合(例如成為分子氟的原子氟再結合)及/或控制此物種(例如活化或再結合物種)主要對蝕刻產生作用。可基於蝕刻劑化學成分、期望蝕刻速率、活化物種之期望濃度分佈、不同物種對選擇性去除之期望作用、以及其他材料與製程參數來選擇基板溫度。在某些實施例中,將基板維持在 小於約300℃,或更特定為在小於約250℃,或小於約150℃,或甚至小於約100℃。在其他實施例中,將基板加熱至約300℃與450℃之間,或在更具體的實施例中,加熱至約350℃與400℃之間。雖然這些溫度範圍係針對以F為基礎的蝕刻而提出,但對不同類型的蝕刻劑可使用其他的溫度範圍。
活化氟物種的活化能係比再結合氟的活化能要小得多。因此,使基板溫度下降可產生來自活化物種的更多去除作用。在特定溫度(以及其他製程條件,例如流率與腔室壓力)下,活化物種的相對去除作用可勝過再結合物種。
在特徵部內的材料分佈亦可藉由其階梯覆蓋而特徵化。為說明之目的,「階梯覆蓋(step coverage)」係定義為兩個厚度的比值,即在特徵部內部之材料的厚度除以在開口附近之材料的厚度。為說明之目的,「在特徵部內部」一詞係表示沿著特徵部軸而位在特徵部中點附近(例如沿著從特徵部開口所量測之特徵部深度之約25%與75%之間的距離(或在某些實施例中,約40%與60%之間的距離)的區域)的特徵部中間部分,或者位在沿著如從此開口所量測之特徵部軸之約75%與95%之間的距離的特徵部末端部分。「在特徵部之開口附近」或「在特徵部開口附近」一詞係表示位在開口邊緣(或開口邊緣之其他元件代表物)之25%內(或更具體而言,在10%內)之特徵部的頂部分。例如可藉由使特徵部之中間或底部寬於特徵部開口的方式來填充特徵部而達成超過100%的階梯覆蓋。
如上所述,可調變蝕刻保形性,以根據特徵部的特定構造而使蝕刻層具有目標階梯覆蓋。在某些實施例中,蝕刻層的目標階梯覆蓋係至少約60%、75%、100%、或超保形(超過100%),例如125%。在某些實施例中,可以低於約50%、25%或更低的階梯覆蓋為目標。
鎢成核的選擇性抑制
如美國專利申請案第13/774,350號(其藉由參考文獻方式合併於此)所述,選擇性抑制可包含對用以使特徵部表面鈍化之活化物種的曝露。例如,在某些實施例中,可藉由對以氮為基礎或以氫為基礎之電漿的曝露而使鎢(W)表面鈍化。在某些實施例中,抑制可包含活化物種與特徵部表面之間的化學反應,其用以形成例如氮化鎢(WN)或碳化鎢(WC)之化合物材料的薄層。在某些實施例中,抑制可包含例如吸附的表面作用,其使此表面 鈍化而不形成化合物材料層。活化物種可藉由任何適當的方法加以形成,其包含藉由電漿產生及/或對紫外線(UV)輻射的曝露。在某些實施例中,使包含特徵部的基板曝露於由饋送到其中座落著此基板的腔室內之一或多種氣體所產生的電漿。在某些實施例中,一或多種氣體可被饋送到遠端電漿產生器內,在遠端電漿產生器中所形成的活化物種被饋送到其中座落著基板的腔室內。此電漿源可為包含無線射頻(RF)電漿源或微波源的任何類型之來源。電漿可為感應及/或電容耦合式。活化物種可包含原子物種、自由基物種、以及離子物種。在某些實施例中,對遠端產生電漿的曝露包含對自由基與原子化物種的曝露,在電漿中實質上不存在離子物種,以使抑制過程不以離子為媒介。在其他實施例中,離子物種可存在於遠端產生電漿中。在某些實施例中,對原位電漿的曝露包含以離子為媒介的抑制。
針對鎢(W)表面,對以氮為基礎及/或以氫為基礎之電漿的曝露係抑制W表面上的後續鎢沉積。可用於鎢表面抑制的其他化學品包含以氧為基礎的電漿與以碳氫化合物為基礎的電漿。例如,可將分子氧或甲烷導入電漿產生器。如在此所使用,以氮為基礎的電漿係其中主要非惰性成分為氮的電漿。例如氬、氙、或氪的惰性成分可被使用作為載氣。在某些實施例中,在產生電漿的氣體中除了極微量之外不存在有其他非惰性成分。在某些實施例中,抑制化學品可為含氮、含氫、含氧、及/或含碳,而一或多種額外的反應性物種係存在於電漿中。
例如,在美國專利申請案第13/351,970號中描述了用以使表面選擇性鈍化之特徵部表面的氮化(nitridation)。使用NF3電漿,例如於此處,活化氟自由基係與位於特徵部開口的鎢反應並且將之去除,由NF3電漿所產生的氮可引起鎢表面的氮化而形成氮化鎢。相較於在一般主體鎢膜上者,氮化表面上的後續鎢沉積係明顯延遲。較長的延遲使特徵部在夾止之前保持開啟更久,且因為更多的WF6分子能夠到達特徵部內部並且沉積鎢而促進填充的改善。此被說明於圖11中,其顯示包含突出部1115的部分填充特徵部1101。在NF3電漿蝕刻期間,在特徵部之頂部附近之1103處的氮物種(例如氮自由基)係比在特徵部更內部之1105處更多。因此,W-N係形成在特徵部的頂部,而非特徵部內部中。在完全填充期間,在特徵部內的鎢(W)表面上會比在特徵部之頂部的W-N表面上更容易地使鎢沉積。此使得特徵 部1101在1107處保持開啟更久以促進填充的改善。
除了NF3以外,可使用例如CF4或C2F8的氟碳化合物。然而,在某些實施例中,抑制物種為無氟以在選擇性抑制期間防止蝕刻。
在某些實施例中,替代或除了電漿產生器以外,可使用UV輻射及/或熱能來提供活化物種。除了鎢表面以外,可抑制襯墊/阻障層表面(例如TiN及/或WN表面)上的成核。可使用使這些表面鈍化的化學品。針對TiN與WN,此可包含對以氮為基礎或含氮之化學品的曝露。在某些實施例中,上述用於W的化學品亦可被用於TiN、WN、或其他襯墊層表面。
調整抑制輪廓可包含適當地控制抑制化學品、基板偏壓功率、電漿功率、製程壓力、曝露時間、以及其他製程參數。對於原位電漿處理(或其中存在有離子物種的其他處理),可將偏壓施加至基板。在某些實施例中,基板偏壓可明顯影響抑制輪廓,增加偏壓功率以在特徵部內部更深處產生活性物種。對於其中期望在橫向方向(鎢沉積優先在此結構的內部中進行)上而非垂直方向上具有選擇度的3-D結構,增加的偏壓功率可用以促進由上而下(top-to-bottom)的沉積均勻性。
雖然在某些實施例中偏壓功率可被使用作為用以調整離子物種之抑制輸廓的主要或唯一旋鈕,但在某些情況下,替代或除了偏壓功率以外,執行選擇性抑制的其他者係使用其他參數。此包含遠端產生之非離子電漿處理以及非電漿處理。又,在許多系統中,可簡單地施加基板偏壓而調整在垂直而非橫向方向上的選擇度。因此,如上所述,對於其中期望橫向選擇度的3-D結構,可控制偏壓以外的參數。
抑制化學品亦可用以調整抑制輪廓,以及使用活性抑制物種的不同比值。例如,對於W表面的抑制,氮可比氫具有更為有力的抑制效果;調整在以形成氣體為基礎之電漿中的N2與H2氣體比值可用以調整輪廓。電漿功率亦可用以調整抑制輪廓,以及藉由電漿功率來調整活性物種的不同比值。例如,於在此所述的某些實施例中,可藉由改變電漿功率來調變氮自由基形成與結果W-N形成以及相關鈍化作用。改變電漿功率亦可控制最終W膜之電阻係數。圖12係說明藉由改變蝕刻功率而控制後續沉積延遲時間之能力的圖表。吾人可瞭解任何介於「高」與「低」之間的功率可按照期望地用以控制此延遲。在圖12中,相較於較高功率遠端產生電漿蝕 刻,在低功率下使用NF3的遠端產生電漿蝕刻於後續沉積中造成降低的成核延遲(較快的成核)。此可歸因於在高電漿功率蝕刻期間存在較多的氮物種,而增加WN的形成以及後續延遲。
製程壓力可用以調整輪廓,因為壓力可引起更多的再結合(使活性物種去活化)並且將活性物種推入特徵部的更內部。製程時間亦可用以調整抑制輪廓,以及增加處理時間在特徵部的更深處引起抑制。
在某些實施例中,可藉由在質量傳送限制體系中來達成選擇性抑制。在此體系中,特徵部內部的抑制速率係受到擴散至特徵部內之不同抑制材料成分(例如初始抑制物種、活化抑制物種、以及再結合抑制物種)的量及/或其相關成分所限制。在某些範例中,抑制速率係取決於位在特徵部內部之不同位置的各種成分的濃度。
在某種程度上,質量傳送限制條件可藉由整體抑制濃度變化加以特徵化。在某些實施例中,在特徵部內部的濃度係小於其開口附近的濃度,以在此開口附近造成比內部更高的抑制速率。此接著在特徵部開口附近造成選擇性抑制。質量傳送限制製程條件可藉由(例如使用相應於空穴輪廓與尺寸的低抑制氣體流率)將限量的抑制物種供應到處理腔室內而加以達成,並同時在特徵部開口附近維持相對高的抑制速率,以在活化物種擴散到特徵部內時消耗掉部分的活化物種。在某些實施例中,濃度梯度係重要的,此可由相對高的抑制動力以及相對低的抑制供應所引起。在某些實施例中,開口附近的抑制速率亦可為質量傳送限制,但此條件並非為達成選擇性抑制所需。
除了特徵部內部的整體抑制濃度變化以外,選擇性抑制可受到遍佈特徵部之不同抑制物種的相對濃度所影響。這些相對濃度接著可依抑制物種之解離與再結合過程的相對動力學而定。如上所述,例如分子氮的初始抑制材料可通過遠端電漿產生器及/或遭受到原位電漿,以產生活化物種(例如原子氮、氮離子)。然而,活化物種可再結合成較不具活性的再結合物種(例如氮分子)及/或與沿著其擴散路徑的W、WN、TiN、或其他特徵部表面產生反應。就其本身來說,特徵部的不同部分可曝露於不同濃度的不同抑制材料,例如初始抑制氣體、活化抑制物種、以及再結合抑制物種。此提供控制選擇性抑制的額外機會。例如,活化物種通常比初始抑制氣體以 及再結合抑制物種更具反應性。再者,在某些情況下,活化物種可比再結合物種對溫度變化更不具敏感性。因此,可利用此種將去除主要歸因於活化物種的方式來控制製程條件。如上所述,部分的物種可比其他物種更具反應性。再者,特定的製程條件可產生活化物種,其在特徵部之開口附近的濃度係比在特徵部內部更高。舉例而言,部分的活化物種在擴散到特徵部(尤其係小的高縱橫比特徵部)的更深處內時可被消耗(例如與特徵部表面材料反應及/或吸附在此表面上)及/或再結合。活化物種的再結合亦可發生在特徵部的外部,例如處理腔室的噴淋頭中,並且可取決於腔室壓力。因此,可特別控制腔室壓力而調整位在腔室與特徵部之各點的活化物種的濃度。
抑制氣體的流率可取決於腔室的尺寸、反應速率、以及其他參數。可利用此種在開口附近比在特徵部內部集中更多抑制材料的方式來選擇流率。在某些實施例中,這些流率引起質量傳送限制選擇性抑制。例如,對於每站之195-升腔室的流率可介於約25sccm與10,000sccm之間,或在更特定之實施例中,係介於約50與1,000sccm之間。在某些實施例中,此流率係小於約2,000sccm,小於約1,000sccm,或更特定為小於約500sccm。吾人應注意到這些數值係針對用於處理300-mm基板的一個單獨的站而提出。這些流率可根據基板尺寸、此設備中的站數(例如對於四站設備為四倍)、處理腔室容積、以及其他因素而按比例放大或縮小。
在某些實施例中,基板可在選擇性抑制之前被加熱或冷卻。可選擇基板的預定溫度以引發特徵部表面與抑制物種之間的化學反應及/或促進抑制物種的吸附,並且控制此反應或吸附的速率。例如,可選擇溫度以獲得高反應速率,以使開口附近比特徵部內部發生更多的抑制。再者,亦可選擇溫度以控制活化物種的再結合(例如成為分子氮的原子氮再結合)及/或控制此種物種(例如活化或再結合物種)主要對抑制產生作用。在某些實施例中,將基板維持在小於約300℃,或更特定為在小於約250℃,或小於約150℃,或甚至小於約100℃。在其他實施例中,將基板加熱至約300℃與450℃之間,或在更特定之實施例中,至約350℃與400℃之間。對於不同類型的抑制化學品可使用其他溫度範圍。亦可選擇曝露時間以引起選擇性抑制。示範的曝露時間可根據期望的選擇度與特徵部深度而從約10秒分佈到500秒。
設備
吾人可使用任何適當的腔室來實現此種新穎的方法。沉積設備的範例包含各種系統,例如可自Novellus Systems,Inc.of San Jose,California所購得的ALTUS以及ALTUS Max,或任何種種其他市售的處理腔室。
圖24說明依照某些實施例之用以處理部分加工之半導體基板的設備2400之示意圖。設備2400包含具有台座2420的腔室2418、噴淋頭2414、以及原位電漿產生器2416。設備2400亦包含系統控制器2422,其接收輸入及/或將控制信號供應到各種裝置。
從來源2402(其可為儲槽)將蝕刻劑以及在某些實施例中的惰性氣體(例如氬、氦以及其他)供應到遠端電漿產生器2406。任何適當的遠端電漿產生器可用於在將蝕刻劑導入到腔室2418內之前活化蝕刻劑。舉例而言,可使用遠端電漿清理(RPC,Remote Plasma Cleaning)單元,例如ASTRON® i Type AX7670、ASTRON® e Type AX7680、ASTRON® ex Type AX7685、ASTRON® hf-s Type AX7645,其皆可自MKS Instruments of Andover,Massachusetts購得。RPC單元一般係使用所供應之蝕刻劑來產生弱游離化電漿的自給式裝置。埋入RPC單元內的高功率RF產生器將能量提供給電漿中的電子。此能量之後被傳遞給中性蝕刻劑分子而引起2000 K等級的溫度,以使這些分子產生熱解離。RPC單元可因為其高RF能量與特殊通道幾何形狀使此蝕刻劑吸附大部分的此能量,所以使大於60%的引入蝕刻劑分子解離。
在某些實施例中,使蝕刻劑從遠端電漿產生器2406流動通過連接管線2408而進入腔室2418內,於此處,此混合物係透過噴淋頭2414加以分配。在其他實施例中,使蝕刻劑直接完全繞過遠端電漿產生器2406(例如,系統2400不包含此種產生器)而流入到腔室2418內。或者,例如,因為不需要活化蝕刻劑,所以在使蝕刻劑流入到腔室2418內時,可關閉遠端電漿產生器2406。
噴淋頭2414或台座2420一般可具有與其附接的內部電漿產器2416。在一範例中,產生器2416係能夠在介於約1MHz與100MHz之間的頻率下於約0W與10,000W之間進行供給的高頻率(HF)產生器。在一更具體的實施例中,此HF產生器可在約13.56MHz下於約0W到5,000W之 間進行輸送。此RF產生器2416可產生原位電漿,以增強初始鎢層的去除。在某些實施例中,在此製程的去除操作期間不使用此RF產生器。
腔室2418可包含用以感測各種製程參數的感測器2424,例如的沉積與蝕刻的程度、濃度、壓力、溫度、以及其他。感測器2424可將與此製程期間之腔室條件有關的資訊提供至系統控制器2422。感測器2424的範例包含質量流量控制器、壓力感測器、熱電偶、以及其他。感測器2424亦可包含紅外線偵測器或光學偵測器,以監視此腔室中之氣體的存在並且控制量測。
沉積與選擇性去除操作產生各種揮發性物種,其被排出腔室2418。此外,在腔室2418的某些預定壓力等級下執行處理。使用真空出口2426來達成此兩種功能,此真空出口可為真空幫浦。
在某些實施例中,系統控制器2422係用以控制製程參數。系統控制器2422一般包含一或多個記憶體裝置以及一或多個處理器。此處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接部、步進式馬達控制器板等等。一般來說,可存在有與系統控制器2422結合的使用者介面。此使用者介面可包含顯示螢幕、此設備及/或製程條件的圖形軟體顯示、以及例如指向裝置、鍵盤、觸控螢幕、麥克風等等的使用者輸入裝置。
在某些實施例中,系統控制器2422控制基板溫度、蝕刻劑流率、遠端電漿產生器2406的功率輸出、腔室2418內部的壓力以及其他製程參數。系統控制器2422執行包含指令組的系統控制軟體,這些指令組係用以控制特定製程的時序、氣體混合、腔室壓力、腔室溫度、以及其他參數。在某些實施例中,可使用儲存在與此控制器結合之記憶體裝置上的其他電腦程式。
可以下列任何習知電腦可讀程式化語言來編寫用以按照製程順序控制這些製程的電腦程式碼:例如組合語言(assembly language)、C、C++、Pascal、Fortran或其他。藉由此處理器來執行編譯目標碼或腳本(script),以執行在此程式中所識別的工作。此系統軟體可以許多不同的方式被加以設計或配置。例如,可編寫各種腔室元件副程式(subroutines)或控制物件(control objects),以控制執行所述之製程所需的腔室元件之操作。用於此目的之程式或程式部分的範例包含製程氣體控制碼、壓力控制碼、以及電漿 控制碼。
舉例而言,這些控制器參數係關於例如每一操作之時序、腔室內部之壓力、基板溫度、蝕刻劑流率等等的製程條件。這些參數係以配方的形式提供給使用者,並且可利用此使用者介面加以輸入。可藉由系統控制器2422的類比及/或數位輸入連接部來提供用以監視此製程的信號。在設備2400的類比及/或數位輸出連接部上輸出用以控制此製程的信號。
多站設備
圖25A顯示多站設備2500的一範例。設備2500包含處理腔室2501以及用以固持待處理之基板與已完成處理之基板的一或多個晶舟盒(cassettes)2503(例如前開式晶圓搬運盒(Front Opening Unified Pods))。腔室2501可具有若干的站,例如,兩站、三站、四站、五站、六站、七站、八站、十站、或任何其他數量的站。通常可藉由處理操作與可在一共有環境中執行之若干這些操作的複雜性來決定站數。圖25A說明包含六個站(標示2511到2516)的處理腔室2501。具有單一處理腔室2501之多站設備2500中的所有站係曝露於相同的壓力環境。然而,每一個站可具有指定的反應物分配系統以及藉由專用電漿產生器與台座(例如圖24所示者)所達成的本身電漿與加熱條件。
使待處理之基板從其中一晶舟盒2503通過負載室(load-lock)2505而被裝載到站2511內。外部機械人2507可用以將基板從晶舟盒2503搬運到負載室2505內。在所繪之實施例中,存在有兩個個別的負載室2505。其一般裝設有基板搬運裝置,(一旦使壓力平衡至對應於處理腔室2501之內部環境的等級)以將基板從負載室2505移動到站2511內,並且將基板從站2516移動回到負載室2505內而從處理腔室2501移除。使用一機構2509在處理站2511-2516之間搬運基板並且在如下所述之製程期間支撐部分的基板。
在某些實施例中,一或多個站可預備用來加熱基板。此種站可具有位於基板上方的加熱燈(未圖示)及/或與圖24所示者相似之用以支撐基板的加熱台座。例如,站2511可接收來自負載室的基板並且用以在進行進一步處理之前預熱基板。其他的站可用於填充高縱橫比特徵部,其包含沉積與蝕刻操作。
在基板於站2511被加熱或以其他方式處理之後,相繼地將基板移動到可或可不被連續排列的處理站2512、2513、2514、2515、以及2516。多站設備2500的設置係使得所有的站曝露於相同的壓力環境。如此,在不需要例如負載室之搬運部的情況下,於腔室2501中將基板從站2511搬運到其他站。
在某些實施例中,可使用一或多個站以含鎢材料來填充特徵部。例如,站2512可用於初始沉積操作,站2513可用於對應的選擇性去除操作。在重複沉積-去除循環的實施例中,站2514可用於另一個沉積操作,以及站2515可用於另一個部分去除操作。部分2516可用於最終填充操作。吾人應瞭解到可使用指定於特定製程(加熱、填充、以及去除)的任何站構造。
作為上述多站設備的一替代方式,此方法可在單一基板腔室中實施或在單一處理站中以批次模式(即,非連續)處理基板的多站腔室中實施。在本發明之此實施樣態中,將基板裝載到腔室內並且設置在單一處理站(不管其是否為僅具有一個處理站的設備或具有以批次模式運作之多個站的設備)的台座上。之後可加熱基板並且可執行沉積操作。接著可調整腔室內的製程條件,然後執行沉積層的選擇性去除。此製程可繼續進行一或多個沉積-去除循環以及最終填充操作,其全部皆在同一個站上執行。或者,可先使用單一站設備在多個晶圓上執行此新方法中的僅其中一個操作(例如沉積、選擇性去除、最終填充),在此之後可使基板返回到同一個站或移動到(例如不同設備之)不同的站,以執行剩餘操作的其中一或多者。
多腔室設備
圖25B係依照某些實施例可被使用之多腔室設備2520的示意圖。如圖所示,設備2520具有三個個別的腔室2521、2523、以及2525。這些腔室的每一者被顯示具有兩個台座。吾人應瞭解到一設備可具有任何數量的腔室(例如一個、兩個、三個、四個、五個、六個等等),以及每一個腔室可具有任何數量的腔室(例如一個、兩個、三個、四個、五個、六個等等)。每一個腔室2521-2525具有其本身的壓力環境,此壓力環境在腔室之間不為共有。每一個腔室可具有一或多個對應的搬運部(例如負載室)。此設備亦可具有共有的基板搬運機械人2527,其用以在搬運部與一或多個晶舟盒2529之間搬運基板。
如上所述,個別的腔室可用於沉積含鎢材料以及在後來操作中之這些沉積材料的選擇性去除。將此兩種操作分開在不同腔室可藉由在每一個腔室內維持相同的環境條件而實質上有助於改善處理速度。換言之,一腔室不需將其環境從用於沉積的條件改變成用於選擇性去除的條件並且改變回來,其可包含不同的前驅物、不同的溫度、不同的壓力、以及其他製程參數。在某些實施例中,在兩個以上不同腔室之間搬運部分製造之半導體基板係比改變這些腔室的環境條件更快。
圖案化方法/設備:
在上文中所述之設備/製程可與例如用於半導體裝置、顯示器、LEDs、光電板(photovoltaic panels)等等之加工或製造的微影圖案化工具或製程結合一起使用。一般而言,雖然沒有必要,但此種工具/製程將在一共同製造設施中被一起使用或執行。膜的微影圖案化一般包含部分或所有下列步驟,每一個步驟能夠以若干可行工具進行:(1)使用旋轉塗佈或噴塗工具將光阻施加在工作件(即,基板)上;(2)使用熱板或爐或UV硬化工具來進行光阻的硬化;(3)以例如晶圓步進機(stepper)的工具使光阻曝露於可見光或UV光或x-射線光;(4)使用例如溼式工作台(wet bench)的工具使光阻顯影,俾能選擇性地去除光阻並且藉以將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉印到下伏膜或工作件內;以及(6)使用例如RF或微波電漿光阻剝除機的工具來去除光阻。
1603‧‧‧蝕刻鎢層

Claims (39)

  1. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部;在該特徵部內保形地沉積鎢而以一第一主體鎢層來填充該特徵部;去除一部分的該第一主體鎢層以在該特徵部內留下一蝕刻鎢層,包含從一或多個側壁去除鎢;及在該蝕刻鎢層上選擇性地沉積一第二主體鎢層。
  2. 如申請專利範圍第1項所述之方法,其中該保形地沉積鎢的步驟包含使一空隙形成在該第一主體鎢層內。
  3. 如申請專利範圍第2項所述之方法,其中去除該部分之該第一主體鎢層的步驟包含開啟該空隙。
  4. 如申請專利範圍第1項所述之方法,其中該保形地沉積鎢的步驟包含在該第一主體鎢層中形成沿著該特徵部之軸蔓延的一接縫。
  5. 如申請專利範圍第4項所述之方法,其中去除該部分之該第一主體鎢層的步驟包含將該第一主體鎢層蝕刻到該接縫形成點。
  6. 如申請專利範圍第1項所述之方法,其中在該蝕刻鎢層上選擇性地沉積一第二主體鎢層的步驟包含在不形成一中間成核層的情況下,在該蝕刻鎢層上沉積該第二主體鎢層。
  7. 如申請專利範圍第1項所述之方法,其中該特徵部係相對於該基板的平面而為垂直定向。
  8. 如申請專利範圍第1項所述之方法,其中該特徵部係相對於該基板的平面而為水平定向。
  9. 如申請專利範圍第1項所述之方法,其中去除該部分之該第一主體鎢層的步驟包含
  10. 如申請專利範圍第1項所述之方法,其中去除該部分之該第一主體鎢層的步驟包含使該第一主體鎢層曝露於遠端產生電漿。
  11. 如申請專利範圍第1項所述之方法,其中去除該部分之該第一主體鎢層的步驟包含使該第一主體鎢層曝露於原位電漿。
  12. 如申請專利範圍第1項所述之方法,其中去除該部分之該第一主體鎢層的步驟包含使該第一主體鎢層曝露於使用一電容耦合電漿(CCP,capacitively coupled plasma)產生器、一感應耦合電漿(ICP,inductively coupled plasma)產生器、一變壓器耦合電漿(TCP,transformer coupled plasma)產生器、一電子迴旋共振(ECR,electron cyclotron resonance)產生器、或一螺旋波電漿產生器所產生的電漿。
  13. 如申請專利範圍第1項所述之方法,其中去除一部分之該第一主體鎢層的步驟包含該第一主體鎢層的非保形蝕刻。
  14. 如申請專利範圍第1項所述之方法,其中去除一部分之該第一主體鎢層的步驟包含該第一主體鎢層的保形蝕刻。
  15. 如申請專利範圍第1項所述之方法,其中去除一部分之該第一主體鎢層的步驟包含相對於一底層而選擇性地蝕刻鎢,該底層加襯於該特徵部並且該第一主體鎢層係沉積在該特徵部上。
  16. 如申請專利範圍第1項所述之方法,其中去除一部分之該第一主體鎢層的步驟包含相對於一底層而非選擇性地蝕刻鎢,該底層加襯於該特徵部並且該第一主體鎢層係沉積在該特徵部上。
  17. 如申請專利範圍第1項所述之方法,包含在該第二主體鎢層上沉積一薄層,該薄層係選自於一接著層、一襯墊層、以及一阻障層。
  18. 如申請專利範圍第17項所述之方法,包含在該薄層上沉積一第三主體鎢層。
  19. 如申請專利範圍第1項所述之方法,其中該第二主體鎢層對於該特徵部為非保形。
  20. 如申請專利範圍第1項所述之方法,其中以具有大於100%之階梯覆蓋的鎢來填充該特徵部。
  21. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、一特徵部內部、以及沿著該特徵部之長度延伸的一特徵部軸;在該特徵部內沉積鎢而以一第一主體鎢層來填充該特徵部,其中晶粒成長係實質上正交於該特徵部軸;去除一部分的該第一主體鎢層,以在該特徵部內留下一蝕刻鎢層;及在該蝕刻鎢層上選擇性地沉積一第二主體鎢層,其中晶粒成長係實質上平行於該特徵部軸。
  22. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部;在該特徵部內保形地沉積鎢而以一第一主體鎢層來填充該特徵部;在去除一部分的該鎢之後接收該基板,該所接收的特徵部包含一蝕刻鎢層;及在該蝕刻鎢層上選擇性地沉積一第二主體鎢層,其中該第二主體鎢層對於該特徵部為非保形。
  23. 一種方法,包含下列步驟:接收包含一特徵部的一基板,該特徵部具有一特徵部開口、特徵部側壁、以及一封閉的特徵部末端,以包含一空隙及/或接縫的一保形主體鎢層填充該特徵部,該空隙及/或接縫係形成在該保形主體鎢層中;及蝕刻一部分的該保形主體鎢層,包含從該特徵部的該側壁去除鎢,以使鎢實質上僅留在該特徵部的該封閉末端。
  24. 一種方法,包含下列步驟:接收包含一特徵部的一基板,該特徵部具有兩特徵部開口、特徵部側壁、以及一特徵部內部,以包含一空隙及/或接縫的一保形主體鎢層填充該特徵部,該空隙及/或接縫係形成在該保形主體鎢層中;及蝕刻一部分的該保形主體鎢層,包含從在該特徵部開口附近之該特徵部的該側壁去除鎢,以使鎢實質上僅留在該特徵部內部中。
  25. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部,在該特徵部內沉積一第一主體鎢層;蝕刻該第一主體鎢層以形成一蝕刻鎢層,其中蝕刻該第一主體鎢層的步驟包含去除在該特徵部內達到從該一或多個特徵部開口延伸之一凹槽深度的實質上所有之鎢;及在該特徵部內沉積一第二主體鎢層。
  26. 如申請專利範圍第25項所述之方法,其中該第一主體層僅部分地填充該特徵部。
  27. 如申請專利範圍第26項所述之方法,其中蝕刻該第一主體層的步驟包含該第一主體層之至少一區域的橫向蝕刻。
  28. 如申請專利範圍第25項所述之方法,其中該第二主體鎢層係選擇性地沉積在該蝕刻鎢層上。
  29. 如申請專利範圍第25項所述之方法,其中該第二主體鎢層係保形地沉積在該特徵部內。
  30. 如申請專利範圍第25項所述之方法,其中該特徵部包含一縊縮部,以及該凹槽深度係延伸通過該縊縮部。
  31. 如申請專利範圍第25項所述之方法,其中蝕刻該第一主體鎢層以形成一蝕刻鎢層的步驟包含開啟形成在該特徵部內的一空隙。
  32. 如申請專利範圍第25項所述之方法,更包含重複該蝕刻與沉積操作一或多次。
  33. 如申請專利範圍第25項所述之方法,其中該第一主體鎢層係相對於一底層而被選擇性地蝕刻,該底層係加襯於該特徵部並且該第一主體鎢層係沉積在該特徵部上。
  34. 如申請專利範圍第25項所述之方法,其中該第一主體鎢層係相對於一底層而被非選擇性地蝕刻,該底層係加襯於該特徵部並且該第一主體鎢層係沉積在該特徵部上。
  35. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部,在該特徵部內保形地沉積一硼層;使該特徵部內之一部分的該硼層轉化成鎢,以在該特徵部內留下一剩餘硼層;選擇性地蝕刻該鎢而不蝕刻該剩餘硼層;及 使該剩餘硼層轉化成鎢。
  36. 如申請專利範圍第35項所述之方法,其中使該硼層沉積到10nm以上的厚度。
  37. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部;在該特徵部內保形地沉積一硼層,該硼層具有至少約5nm的厚度;使該硼層特徵部的整個厚度轉化成鎢,以使該特徵部的已填充部分經歷體積膨脹;及重複該保形沉積與轉化操作一或多次而部分或完全地以鎢來填充該特徵部。
  38. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部,在該特徵部內保形地沉積一無氟氮化鎢層;及使該氟氮化鎢層轉化成一無氟鎢層。
  39. 一種方法,包含下列步驟:提供包含一特徵部的一基板,該特徵部具有一或多個特徵部開口、特徵部側壁、以及一特徵部內部,使用一含鹵素還原劑在該特徵部內保形地沉積一鎢層;抽出含鹵素副產物;及在該保形鎢層上沉積一無氟含鎢。
TW102110947A 2012-03-27 2013-03-27 鎢特徵部塡充 TWI602283B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261616377P 2012-03-27 2012-03-27

Publications (2)

Publication Number Publication Date
TW201405781A true TW201405781A (zh) 2014-02-01
TWI602283B TWI602283B (zh) 2017-10-11

Family

ID=49261216

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102110947A TWI602283B (zh) 2012-03-27 2013-03-27 鎢特徵部塡充

Country Status (6)

Country Link
US (6) US9653353B2 (zh)
JP (1) JP6273257B2 (zh)
KR (2) KR102064627B1 (zh)
CN (3) CN110004429B (zh)
TW (1) TWI602283B (zh)
WO (1) WO2013148880A1 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713523B (zh) * 2015-05-28 2020-12-21 日商東京威力科創股份有限公司 金屬膜之成膜方法
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11365479B2 (en) 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI769204B (zh) * 2016-12-19 2022-07-01 美商蘭姆研究公司 用於遠程電漿程序之腔室調節方法
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI784037B (zh) * 2017-08-14 2022-11-21 美商蘭姆研究公司 用於三維垂直nand字元線的金屬填充製程
TWI786329B (zh) * 2018-09-18 2022-12-11 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
TWI835366B (zh) 2017-08-14 2024-03-11 美商蘭姆研究公司 用於三維垂直nand字元線的金屬填充製程

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8912574B2 (en) * 2010-12-14 2014-12-16 International Business Machines Corporation Device isolation with improved thermal conductivity
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6494940B2 (ja) * 2013-07-25 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 異なるサイズのフィーチャへのボイドフリータングステン充填
KR102291990B1 (ko) * 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111374A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR20160079031A (ko) * 2013-11-27 2016-07-05 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) * 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP6269175B2 (ja) * 2014-03-05 2018-01-31 株式会社デンソー 半導体装置の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
KR20150110965A (ko) * 2014-03-21 2015-10-05 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9390939B2 (en) * 2014-05-29 2016-07-12 Globalfoundries Inc. Methods of forming MIS contact structures for semiconductor devices and the resulting devices
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN104072138B (zh) * 2014-06-18 2015-10-28 河海大学 一种碳化钨-立方氮化硼复合材料及其制备方法
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US10176996B2 (en) * 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
SG11201703033RA (en) 2014-10-17 2017-05-30 Acm Res Shanghai Inc Barrier layer removal method and semiconductor structure forming method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9666507B2 (en) * 2014-11-30 2017-05-30 United Microelectronics Corp. Through-substrate structure and method for fabricating the same
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9502303B2 (en) * 2015-04-09 2016-11-22 United Microelectronics Corp. Method for manufacturing semiconductor device with a barrier layer having overhung portions
US9576788B2 (en) 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
JP6473405B2 (ja) * 2015-10-05 2019-02-20 浜松ホトニクス株式会社 配線構造体の製造方法
US20170104000A1 (en) 2015-10-13 2017-04-13 Joo-Hee PARK Vertical memory devices
US9449921B1 (en) 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10214807B2 (en) * 2016-06-02 2019-02-26 Lam Research Corporation Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
TWI680535B (zh) * 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6751631B2 (ja) 2016-09-13 2020-09-09 東京エレクトロン株式会社 基板の凹部をタングステンで充填する方法
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
TW201839897A (zh) * 2017-02-22 2018-11-01 美商應用材料股份有限公司 自對準接觸圖案化之臨界尺寸控制
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
TWI757478B (zh) * 2017-05-02 2022-03-11 美商應用材料股份有限公司 形成鎢支柱的方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
KR102399497B1 (ko) * 2017-05-29 2022-05-19 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TWI719316B (zh) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 利用鎢氧化還原之無縫鎢填充
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP7256135B2 (ja) * 2017-06-23 2023-04-11 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 選択的な膜成長のための原子層堆積の方法
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20200019766A (ko) * 2017-07-13 2020-02-24 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 핵 생성 층들을 증착하기 위한 방법들 및 장치
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN107564861A (zh) * 2017-09-29 2018-01-09 睿力集成电路有限公司 一种晶体管结构、存储单元、存储器阵列及其制备方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10840405B2 (en) * 2017-10-31 2020-11-17 Sivananthan Laboratories, Inc. Inductively coupled plasma for hydrogenation of type II superlattices
US20200402846A1 (en) * 2017-11-20 2020-12-24 Lam Research Corporation Self-limiting growth
CN107946234A (zh) * 2017-11-20 2018-04-20 睿力集成电路有限公司 半导体互连结构及其制备方法
KR102388313B1 (ko) 2017-11-27 2022-04-19 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법
JP7085824B2 (ja) 2017-11-28 2022-06-17 東京エレクトロン株式会社 成膜方法
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10669160B2 (en) * 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110875245B (zh) * 2018-09-04 2023-06-16 北京北方华创微电子装备有限公司 用于填充孔洞或沟槽的薄膜沉积方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP7195106B2 (ja) * 2018-10-12 2022-12-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111244025A (zh) * 2018-11-29 2020-06-05 北京北方华创微电子装备有限公司 填充方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10977405B2 (en) * 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
CN113557320A (zh) 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体
US11189633B2 (en) 2019-03-21 2021-11-30 Samsung Electronics Co., Ltd. Semiconductor device and apparatus of manufacturing the same
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
US20220254685A1 (en) * 2019-05-22 2022-08-11 Lam Research Corporation Nucleation-free tungsten deposition
US11133178B2 (en) * 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
KR20220082023A (ko) * 2019-10-15 2022-06-16 램 리써치 코포레이션 몰리브덴 충진
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
KR20210062824A (ko) 2019-11-22 2021-06-01 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CN115136285A (zh) 2020-01-16 2022-09-30 恩特格里斯公司 蚀刻或沉积的方法
US11742208B2 (en) * 2020-03-25 2023-08-29 Texas Instruments Incorporated Method of reducing voids and seams in trench structures by forming semi-amorphous polysilicon
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
JP2022032210A (ja) 2020-08-11 2022-02-25 キオクシア株式会社 半導体記憶装置
US20240006180A1 (en) * 2020-11-20 2024-01-04 Lam Research Corporation Low resistance pulsed cvd tungsten
US11515200B2 (en) * 2020-12-03 2022-11-29 Applied Materials, Inc. Selective tungsten deposition within trench structures
EP4033518A1 (en) * 2021-01-25 2022-07-27 Infineon Technologies Austria AG Method for fabricating a semiconductor device using wet etching and dry etching and semiconductor device
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
TW202340505A (zh) * 2021-12-07 2023-10-16 美商蘭姆研究公司 利用成核抑制的特徵部填充
WO2023114106A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Large grain tungsten growth in features
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts

Family Cites Families (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
EP0087834B1 (de) 1982-03-03 1987-07-08 BBC Aktiengesellschaft Brown, Boveri & Cie. Druckwellenlader mit Wälzlagerung des Rotors
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4713141A (en) 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4874723A (en) 1987-07-16 1989-10-17 Texas Instruments Incorporated Selective etching of tungsten by remote and in situ plasma generation
US5147500A (en) 1987-07-31 1992-09-15 Hitachi, Ltd. Dry etching method
JPH0794488B2 (ja) 1987-09-07 1995-10-11 ダイセル化学工業株式会社 光学活性な重合用触媒及び光学活性な高分子の合成方法
US4891550A (en) 1987-10-15 1990-01-02 Duro-Test Corporation Phosphor blend for broad spectrum fluorescent lamp
US4997520A (en) 1988-06-10 1991-03-05 Texas Instruments Incorporated Method for etching tungsten
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (ja) 1989-01-14 1990-07-23 Sharp Corp 半導体装置
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US4988644A (en) 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
DE69033760T2 (de) 1990-01-08 2001-10-25 Lsi Logic Corp Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung
JP3019367B2 (ja) 1990-06-21 2000-03-13 日本電気株式会社 半導体装置の製造方法
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JPH04142061A (ja) * 1990-10-02 1992-05-15 Sony Corp タングステンプラグの形成方法
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (ja) * 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
JP3216345B2 (ja) 1993-04-06 2001-10-09 ソニー株式会社 半導体装置及びその作製方法
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (ja) 1993-09-20 1999-04-12 東京エレクトロン株式会社 真空処理装置及び真空処理装置集合体のクリーニング方法
JP3014019B2 (ja) * 1993-11-26 2000-02-28 日本電気株式会社 半導体装置の製造方法
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
KR0179677B1 (ko) 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
JP3291889B2 (ja) * 1994-02-15 2002-06-17 ソニー株式会社 ドライエッチング方法
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JP3538970B2 (ja) 1995-05-24 2004-06-14 ヤマハ株式会社 配線形成法
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
TW310461B (zh) 1995-11-10 1997-07-11 Matsushita Electric Ind Co Ltd
US5747379A (en) 1996-01-11 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
JP3511802B2 (ja) 1996-05-27 2004-03-29 ソニー株式会社 金属配線の形成方法
JPH09326436A (ja) 1996-06-06 1997-12-16 Sony Corp 配線形成方法
US5677237A (en) 1996-06-21 1997-10-14 Taiwan Semiconductor Manufacturing Company Ltd. Process for removing seams in tungsten plugs
US5893758A (en) 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR200160641Y1 (ko) 1996-08-23 1999-11-15 맹섭 골프백용 등걸이 멜빵
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3869089B2 (ja) 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP2891952B2 (ja) 1996-12-17 1999-05-17 芝浦メカトロニクス株式会社 半導体装置の製造方法
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100239442B1 (ko) 1996-12-26 2000-01-15 김영환 콘택홀 내의 전도성 플로그 형성방법
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
EP0856877A1 (en) 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5807786A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
TW359884B (en) 1998-01-07 1999-06-01 Nanya Technology Co Ltd Multi-level interconnects with I-plug and production process therefor
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6110822A (en) 1998-03-25 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for forming a polysilicon-interconnect contact in a TFT-SRAM
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
WO1999067056A1 (en) 1998-06-23 1999-12-29 Arch Specialty Chemicals, Inc. Composition for the chemical mechanical polishing of metal layers
US6140233A (en) 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
TW436366B (en) 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (ko) 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
TW452607B (en) 1999-03-26 2001-09-01 Nat Science Council Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6309964B1 (en) 1999-07-08 2001-10-30 Taiwan Semiconductor Manufacturing Company Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
KR100338941B1 (ko) 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
JP2001274114A (ja) 2000-03-28 2001-10-05 Toshiba Corp 半導体装置の製造方法
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP2002009017A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 半導体装置の製造方法
JP2002016066A (ja) * 2000-06-27 2002-01-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
JP2002043201A (ja) 2000-07-28 2002-02-08 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
CN1295756C (zh) 2000-11-17 2007-01-17 东京毅力科创株式会社 在阻挡膜上形成钨膜的方法
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US6376376B1 (en) 2001-01-16 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method to prevent CU dishing during damascene formation
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20020190379A1 (en) 2001-03-28 2002-12-19 Applied Materials, Inc. W-CVD with fluorine-free tungsten nucleation
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
JP2002343787A (ja) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
JP2002353161A (ja) 2001-05-25 2002-12-06 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP3822804B2 (ja) 2001-06-18 2006-09-20 株式会社日立製作所 半導体装置の製造方法
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7115516B2 (en) 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR100437455B1 (ko) 2001-12-10 2004-06-23 삼성전자주식회사 반도체 장치 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030058853A (ko) 2002-01-02 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 플러그 형성 방법
US6828226B1 (en) 2002-01-09 2004-12-07 Taiwan Semiconductor Manufacturing Company, Limited Removal of SiON residue after CMP
JP3971192B2 (ja) 2002-01-11 2007-09-05 株式会社アルバック Cvd装置
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US20030235995A1 (en) 2002-06-21 2003-12-25 Oluseyi Hakeem M. Method of increasing selectivity to mask when etching tungsten or tungsten nitride
US7240564B2 (en) 2002-07-30 2007-07-10 Alliant Techsystems Inc. Method and apparatus for detecting and determining event characteristics with reduced data collection
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
KR20050110613A (ko) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 알루미늄 포스페이트 코팅
JP4429919B2 (ja) 2002-12-27 2010-03-10 株式会社アルバック 窒化タングステン膜の成膜方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
KR100528073B1 (ko) 2003-04-07 2005-11-15 동부아남반도체 주식회사 반도체소자 제조방법
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20040266174A1 (en) 2003-06-27 2004-12-30 Chin-Tien Yang Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
KR20050011479A (ko) 2003-07-23 2005-01-29 주식회사 하이닉스반도체 반도체 소자의 텅스텐 콘택플러그 형성방법
KR100555514B1 (ko) 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7223693B2 (en) 2003-12-12 2007-05-29 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
KR101108304B1 (ko) * 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
CN100370585C (zh) 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
CN1942999B (zh) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 一种用于对高压放电灯的不含氧化钍的钨电极的热处理方法
US7199045B2 (en) 2004-05-26 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-filled openings for submicron devices and methods of manufacture thereof
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20050013187A (ko) 2004-12-28 2005-02-03 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP4671729B2 (ja) 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
JP4967354B2 (ja) 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
JP4783169B2 (ja) 2006-02-13 2011-09-28 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR101254275B1 (ko) 2006-06-20 2013-04-23 가부시키가이샤 아루박 폴리이미드막 도포 장치 및 방법
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US7435484B2 (en) 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100757418B1 (ko) * 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100881391B1 (ko) * 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7569913B2 (en) * 2006-10-26 2009-08-04 Atmel Corporation Boron etch-stop layer and methods related thereto
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR20080061978A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 배선 형성방법
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
TWI493058B (zh) 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
KR101225642B1 (ko) 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
CN101952944B (zh) 2007-11-21 2013-01-02 朗姆研究公司 控制对含钨层的蚀刻微负载的方法及其设备
KR100939777B1 (ko) * 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (ko) * 2008-01-02 2009-10-01 주식회사 하이닉스반도체 반도체소자의 텅스텐막 형성방법
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) * 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
US8236691B2 (en) * 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
JP5550843B2 (ja) 2009-03-19 2014-07-16 ラピスセミコンダクタ株式会社 半導体装置の製造方法
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
CN102574884B (zh) 2009-08-07 2016-02-10 西格玛-奥吉奇有限责任公司 高分子量烷基-烯丙基三羰基钴配合物及其用于制备介电薄膜的用途
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US8809193B2 (en) 2009-09-02 2014-08-19 Ulvac, Inc. Method for the formation of Co film and method for the formation of Cu interconnection film
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
EP2501722A4 (en) 2009-11-19 2013-05-01 Univ Singapore METHOD FOR PRODUCING MONOCLONAL ANTIBODIES LIKE T-LYMPHOCYTE RECEPTORS AND USES THEREOF
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
CN101789369A (zh) * 2010-01-28 2010-07-28 上海宏力半导体制造有限公司 多金属钨栅极刻蚀方法
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
WO2011113177A1 (en) 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2011199021A (ja) 2010-03-19 2011-10-06 Renesas Electronics Corp 半導体装置及びその製造方法
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101340793B1 (ko) * 2010-07-09 2013-12-11 노벨러스 시스템즈, 인코포레이티드 고 종횡비 특징부 내부로 텅스텐 증착하기
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) * 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272440B (zh) 2012-03-27 2017-02-22 诺发系统公司 用核化抑制的钨特征填充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (ja) 2013-02-20 2014-09-04 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US20140273451A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US8975142B2 (en) 2013-04-25 2015-03-10 Globalfoundries Inc. FinFET channel stress using tungsten contacts in raised epitaxial source and drain
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
CN105814677B (zh) * 2013-10-18 2019-06-18 布鲁克斯自动化公司 处理设备
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI613845B (zh) 2016-08-04 2018-02-01 財團法人工業技術研究院 垂直磁化自旋軌道磁性元件
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
TWI713523B (zh) * 2015-05-28 2020-12-21 日商東京威力科創股份有限公司 金屬膜之成膜方法
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
TWI769204B (zh) * 2016-12-19 2022-07-01 美商蘭姆研究公司 用於遠程電漿程序之腔室調節方法
TWI835366B (zh) 2017-08-14 2024-03-11 美商蘭姆研究公司 用於三維垂直nand字元線的金屬填充製程
TWI784037B (zh) * 2017-08-14 2022-11-21 美商蘭姆研究公司 用於三維垂直nand字元線的金屬填充製程
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11365479B2 (en) 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
TWI786329B (zh) * 2018-09-18 2022-12-11 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TWI815776B (zh) * 2018-09-18 2023-09-11 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TWI798148B (zh) * 2018-09-18 2023-04-01 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TWI787684B (zh) * 2020-05-26 2022-12-21 台灣積體電路製造股份有限公司 半導體元件及其製造方法
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices

Also Published As

Publication number Publication date
KR20200006620A (ko) 2020-01-20
US20170278749A1 (en) 2017-09-28
US20130302980A1 (en) 2013-11-14
CN110004429A (zh) 2019-07-12
US20160190008A1 (en) 2016-06-30
KR102131581B1 (ko) 2020-07-08
US20150056803A1 (en) 2015-02-26
CN110004429B (zh) 2021-08-31
CN113862634A (zh) 2021-12-31
WO2013148880A1 (en) 2013-10-03
US11075115B2 (en) 2021-07-27
KR102064627B1 (ko) 2020-01-09
TWI602283B (zh) 2017-10-11
JP6273257B2 (ja) 2018-01-31
JP2015512568A (ja) 2015-04-27
US10103058B2 (en) 2018-10-16
US9240347B2 (en) 2016-01-19
US20190019725A1 (en) 2019-01-17
KR20140141686A (ko) 2014-12-10
US20210327754A1 (en) 2021-10-21
CN104272441A (zh) 2015-01-07
US9653353B2 (en) 2017-05-16

Similar Documents

Publication Publication Date Title
TWI602283B (zh) 鎢特徵部塡充
US11901227B2 (en) Feature fill with nucleation inhibition
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US9548228B2 (en) Void free tungsten fill in different sized features
JP6195898B2 (ja) 核形成の抑制を伴うタングステンによるフィーチャ充填
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
KR20150013086A (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition