JP2005518088A - タングステン複合膜の形成 - Google Patents
タングステン複合膜の形成 Download PDFInfo
- Publication number
- JP2005518088A JP2005518088A JP2003532723A JP2003532723A JP2005518088A JP 2005518088 A JP2005518088 A JP 2005518088A JP 2003532723 A JP2003532723 A JP 2003532723A JP 2003532723 A JP2003532723 A JP 2003532723A JP 2005518088 A JP2005518088 A JP 2005518088A
- Authority
- JP
- Japan
- Prior art keywords
- tungsten
- sccm
- containing precursor
- process environment
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
Abstract
Description
[0001]本発明の実施形態は、一般的には、タングステン膜形成方法に関する。
関連技術の説明
[0002]確実に製造するサブハーフミクロンや更に小さな形状は、次世代の超大規模集積(VLSI)回路や極超大規模集積(ULSI)回路の重要な技術の1つである。特に、集積回路技術の周辺に絞られるにつれて、VLSIやULSIにおける相互接続形状の小さくなる寸法の要求は、更に回路密度と集積回路の品質の双方を高める処理性能に置かれてきた。例えば、マルチレベルの相互接続形状には、高アスペクト比構造(形状の高さと形状幅の比)、例えば、バイア、ライン又はコンタクトの注意深い処理が必要である。タングステン(W)はサブハーフミクロン以下まで拡張され得ないと考えられていたが、そのような高アスペクト比構造において相互接続金属化用タングステンの使用を拡張する努力がなされている。
発明の概要
[0006]基板上にタングステン(W)複合膜を堆積させる方法が提供される。タングステン(W)複合膜は、タングステン(W)核形成層上に形成されたタングステン(W)バルク層を含んでいる。タングステン核形成層は、基板上にタングステン含有前駆物質と還元ガスを交互に吸着させることによる周期的堆積プロセスを用いて形成することができる。タングステン含有前駆物質と還元ガスは反応して基板上にタングステン層を形成する。タングステンバルク層は、タングステン含有前駆物質を熱分解することによる化学気相成長(CVD)プロセスを用いて形成することができる。
好適実施形態の詳細な説明
[0021]図2は、本明細書に記載される実施形態のタングステン堆積を行うために使用し得るウエハ処理システム35を示す概略図である。ウエハ処理システム35は、典型的には、プロセス・チャンバ36、38、40、41、搬送チャンバ50、ロードロック・チャンバ52、ファクトリ・インターフェース46、マイクロプロセッサ・コントローラ54を電源(図示せず)や真空ポンプ(図示せず)のような他のハードウェアコンボーネントと共に含んでいる。そのようなウエハ処理システム35の一例はENDURA SLシステムであり、Applied Materials, Inc., サンタクララ、カリフォルニア州から入手できる。
タングステン複合膜形成
[0045]基板上にタングステン(W)複合膜を形成する方法が記載される。タングステン(W)複合膜は、タングステン(W)核形成層上に形成されたタングステン(W)バルク層を含んでいる。
集積回路の製造工程
[0074]図11A〜図11Bは、相互接続金属化として本発明のタングステン複合膜を組込んでいる製造工程の異なる段階における基板を示す断面図である。図11Aは、例えば、誘電層802が形成された基板800を示す断面図である。基板800は、半導体材料、例えば、シリコン(Si)、ゲルマニウム(Ge)、又はガリウムヒ素(GaAs)を含むことができる。誘電材料は、絶縁材料、例えば、酸化シリコン又は窒化シリコンを含むことができる。誘電層802は、少なくとも1つのアパーチャ802Hがその中に形成されている。少なくとも1つのアパーチャ802Hは、慣用のリソグラフィ技術とエッチング技術を用いて形成することができる。
Claims (67)
- タングステン複合層を形成する方法であって、
基板をプロセス環境へ導入するステップと、
タングステン含有前駆物質を該プロセス環境に供給するステップと、
該タングステン含有前駆物質を該基板上に吸着させるステップと、
還元ガスを該プロセス環境に供給するステップと、
該還元ガスを該基板上に吸着させるステップであって、該基板上にタングステン核形成膜が形成される、前記ステップと、
所望の厚さの該タングステン核形成膜層が形成されるまで該供給ステップと吸着ステップを反復するステップと、
タングステンバルク層を該核形成層上に形成するステップと
を含む、前記方法。 - 該タングステン含有前駆物質が六フッ化タングステン(WF6)又はタングステンカルボニル(W(CO)6)である、請求項1記載の方法。
- 該タングステン含有前駆物質が六フッ化タングステン(WF6)である、請求項2記載の方法。
- 前記タングステン含有前駆物質が約10〜約400sccmで供給される、請求項1記載の方法。
- 前記タングステン含有前駆物質が約20〜約100sccmで供給される、請求項4記載の方法。
- 前記タングステン含有前駆物質が1秒未満の持続時間で供給される、請求項1記載の方法。
- 前記タングステン含有前駆物質が0.2秒未満の持続時間で供給される、請求項1記載の方法。
- 該還元ガスがシラン(SiH4)、ジシラン(Si2H6)、ジクロロシラン(SiCl2H2)、ボラン(BH3)、ジボラン(B2H6)、トリボラン(B3H9)、テトラボラン(B4H12)、ペンタボラン(B5H15)、ヘキサボラン(B6H18)、ヘプタボラン(B7H21)、オクタボラン(B8H24)、ナノボラン(B9H27)又はデカボラン(B10H30)である、請求項1記載の方法。
- 該還元ガスがジボラン(B2H6)である、請求項8記載の方法。
- 該ジボランが約5〜約150sccmで供給される、請求項9記載の方法。
- 該ジボランが約5〜約25sccmで供給される、請求項10記載の方法。
- 該還元ガスがシラン(SiH4)である、請求項8記載の方法。
- 該シランが約10〜約500sccmで供給される、請求項12記載の方法。
- 該シランが約50〜約200sccmで供給される、請求項13記載の方法。
- 該還元ガスが1秒未満の持続時間で供給される、請求項1記載の方法。
- 該還元ガスが0.2秒未満の持続時間で供給される、請求項15記載の方法。
- キャリヤガスを該プロセス環境まで流動させるステップを更に含む、請求項1記載の方法。
- 該キャリヤガスがヘリウム(He)、アルゴン(Ar)、窒素(N2)又は水素(H2)である、請求項17記載の方法。
- 該キャリヤガスが約250〜約1000sccmで供給される、請求項17記載の方法。
- 該キャリヤガスが約300〜約750sccmで供給される、請求項19記載の方法。
- 該プロセス環境が約200℃〜約500℃の温度を含んでいる、請求項1記載の方法。
- 該プロセス環境が約250℃〜約400℃の温度を含んでいる、請求項21記載の方法。
- 該プロセス環境が約1〜約10torrの圧力を含んでいる、請求項1記載の方法。
- 該プロセス環境が約5torrの圧力を含んでいる、請求項23記載の方法。
- 一方又は双方の供給ステップの前にパージステップを更に含む、請求項1記載の方法。
- 該パージステップがパージガスを該プロセス環境へパルス供給するステップを含む、請求項25記載の方法。
- 該パージガスがヘリウム(He)、アルゴン(Ar)、窒素(N2)又は水素(H2)である、請求項26記載の方法。
- 該パージガスが約300〜約1000sccmで供給される、請求項26記載の方法。
- 該パージガスが約500〜約750sccmで供給される、請求項28記載の方法。
- 該パルスの持続時間が2秒未満である、請求項26記載の方法。
- 該パルスの持続時間が1秒未満である、請求項30記載の方法。
- 該パルスの持続時間が約0.3秒である、請求項31記載の方法。
- 該タングステンバルク層が
基板をプロセス環境へ導入するステップと、
タングステン含有前駆物質のフローを該プロセス環境へ供給するステップと、
該タングステン含有前駆物質を熱分解するステップと、
分解した該タングステン含有前駆物質を該基板上に堆積させ、よってバルク層を形成するステップと
を含む方法によって形成される、請求項1記載の方法。 - 該タングステン含有前駆物質が六フッ化タングステン(WF6)又はタングステンカルボニル(W(CO)6)である、請求項33記載の方法。
- 該タングステン含有前駆物質がタングステンカルボニル(W(CO)6)である、請求項34記載の方法。
- 該タングステン含有前駆物質が約10〜約400sccmで供給される、請求項33記載の方法。
- 該タングステン含有前駆物質が約200〜約250sccmで供給される、請求項36記載の方法。
- キャリヤガスを該プロセス環境まで流動させるステップを更に含む、請求項33記載の方法。
- 前記キャリヤガスがヘリウム(He)、アルゴン(Ar)、窒素(N2)又は水素(H2)である、請求項38記載の方法。
- 前記キャリヤガスが約250〜約1000sccmで供給される、請求項38記載の方法。
- 前記キャリヤガスが約300〜約650sccmで供給される、請求項40記載の方法。
- 該プロセス環境が約450℃〜約600℃の温度を含んでいる、請求項33記載の方法。
- 該プロセス環境が約10〜約30torrの圧力を含んでいる、請求項33記載の方法。
- タングステン複合層を形成する方法であって、
基板を第一プロセス環境へ導入するステップであって、該第一プロセス環境が約200℃〜約500℃の温度と約1〜約10torrの圧力を含んでいる、前記ステップと、
該プロセス環境に第一タングステン含有前駆物質を約10〜約400sccmで1秒未満の間供給するステップと、
該第一タングステン含有前駆物質を該基板上に吸着させるステップと、
該プロセス環境に還元ガスを約5〜約500sccmで1秒未満の間供給するステップと、
該還元ガスを該基板上に吸着させるステップであって、該基板上にタングステン核形成膜が形成される、前記ステップと、
所望の厚さの該タングステン核形成膜層が形成されるまで2つの該第一供給ステップと吸着ステップを反復するステップと、
第二プロセス環境を設けるステップと、
第二タングステン含有前駆物質のフローを該プロセス環境へ供給するステップと、
該第二タングステン含有前駆物質を熱分解するステップと、
分解した該第二タングステン含有前駆物質を該基板上に堆積し、よってバクル層を形成するステップと
を含む、前記方法。 - 該第一タングステン含有前駆物質が六フッ化タングステン(WF6)又はタングステンカルボニル(W(CO)6)である、請求項44記載の方法。
- 該第二タングステン含有前駆物質が六フッ化タングステン(WF6)又はタングステンカルボニル(W(CO)6)である、請求項44記載の方法。
- 該第一タングステン含有前駆物質が約20〜約100sccmで供給される、請求項44記載の方法。
- 該還元ガスがシラン(SiH4)、ジシラン(Si2H6)、ジクロロシラン(SiCl2H2)、ボラン(BH3)、ジボラン(B2H6)、トリボラン(B3H9)、テトラボラン(B4H12)、ペンタボラン(B5H15)、ヘキサボラン(B6H18)、ヘプタボラン(B7H21)、オクタボラン(B8H24)、ナノボラン(B9H27)又はデカボラン(B10H30)である、請求項44記載の方法。
- 該還元ガスがジボラン(B2H6)である、請求項48記載の方法。
- 該ジボランが約5〜約150sccmで供給される、請求項49記載の方法。
- 該ジボランが約5〜約25sccmで供給される、請求項50記載の方法。
- 該還元ガスがシラン(SiH4)である、請求項48記載の方法。
- 該シランが約10〜約500sccmで供給される、請求項52記載の方法。
- 該シランが約50〜約200sccmで供給される、請求項53記載の方法。
- 該還元ガスが0.2秒未満の持続時間で供給される、請求項44記載の方法。
- キャリヤガスを該プロセス環境まで流動させるステップを更に含む、請求項44記載の方法。
- 該キャリヤガスがヘリウム(He)、アルゴン(Ar)、窒素(N2)又は水素(H2)である、請求項56記載の方法。
- 該キャリヤガスが約250〜約1000sccmで供給される、請求項56記載の方法。
- 該キャリヤガスが約300〜約750sccmで供給される、請求項58記載の方法。
- 該第二タングステン含有前駆物質が約10〜約400sccmで供給される、請求項44記載の方法。
- 該第二タングステン含有前駆物質が約200〜約250sccmで供給される、請求項60記載の方法。
- 該第二プロセス環境が約450℃〜約600℃の温度を含んでいる、請求項44記載の方法。
- 該第二プロセス環境が約10〜約30torrの圧力を含んでいる、請求項44記載の方法。
- キャリヤガスを該第二プロセス環境まで流動させるステップを更に含んでいる、請求項44記載の方法。
- 該キャリヤガスがヘリウム(He)、アルゴン(Ar)、窒素(N2)又は水素(H2)である、請求項64記載の方法。
- 該キャリヤガスが約250〜約1000sccmで供給される、請求項56記載の方法。
- 該キャリヤガスが約300〜約650sccmで供給される、請求項66記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US30602701P | 2001-07-16 | 2001-07-16 | |
PCT/US2002/022585 WO2003029515A2 (en) | 2001-07-16 | 2002-07-16 | Formation of composite tungsten films |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005518088A true JP2005518088A (ja) | 2005-06-16 |
JP2005518088A5 JP2005518088A5 (ja) | 2006-01-05 |
Family
ID=23183422
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003532723A Pending JP2005518088A (ja) | 2001-07-16 | 2002-07-16 | タングステン複合膜の形成 |
Country Status (4)
Country | Link |
---|---|
US (3) | US6939804B2 (ja) |
JP (1) | JP2005518088A (ja) |
TW (1) | TW581822B (ja) |
WO (1) | WO2003029515A2 (ja) |
Cited By (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007507613A (ja) * | 2003-09-30 | 2007-03-29 | 東京エレクトロン株式会社 | シーケンシャル流量堆積を使用して金属層を堆積させる方法。 |
JP2009144242A (ja) * | 2007-12-05 | 2009-07-02 | Novellus Systems Inc | 低抵抗率タングステン膜の均一性および接着性を高める方法 |
JP2009152612A (ja) * | 2007-12-21 | 2009-07-09 | Novellus Systems Inc | 純タングステンコンタクトおよびラインを形成する方法 |
JP2010526441A (ja) * | 2007-05-04 | 2010-07-29 | マイクロン テクノロジー, インク. | タングステンディジット線、その形成方法及び動作方法 |
JP2010251760A (ja) * | 2009-04-16 | 2010-11-04 | Novellus Systems Inc | 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法 |
JP2011192680A (ja) * | 2010-03-11 | 2011-09-29 | Novellus Systems Inc | 低抵抗率およびロバストな微接着特性を有するタングステン薄膜の成膜方法 |
US8211799B2 (en) | 2005-01-19 | 2012-07-03 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US8673778B2 (en) | 2011-11-25 | 2014-03-18 | Tokyo Electron Limited | Tungsten film forming method |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9653353B2 (en) | 2009-08-04 | 2017-05-16 | Novellus Systems, Inc. | Tungsten feature fill |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
KR20180120089A (ko) | 2017-04-26 | 2018-11-05 | 도쿄엘렉트론가부시키가이샤 | 텅스텐막을 형성하는 방법 |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
WO2020236749A1 (en) * | 2019-05-22 | 2020-11-26 | Lam Research Corporation | Nucleation-free tungsten deposition |
US11348795B2 (en) | 2017-08-14 | 2022-05-31 | Lam Research Corporation | Metal fill process for three-dimensional vertical NAND wordline |
US11549175B2 (en) | 2018-05-03 | 2023-01-10 | Lam Research Corporation | Method of depositing tungsten and other metals in 3D NAND structures |
US11972952B2 (en) | 2019-12-13 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition on 3D NAND structures |
Families Citing this family (79)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7732327B2 (en) * | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7589017B2 (en) * | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US7955972B2 (en) * | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7056278B2 (en) * | 2001-06-01 | 2006-06-06 | Adamed Sp. Z.O.O. | Method of treating overactive bladder in women |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
JP2005518088A (ja) * | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | タングステン複合膜の形成 |
US20090004850A1 (en) * | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US20080268635A1 (en) * | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US9051641B2 (en) * | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US8110489B2 (en) * | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
GB0127145D0 (en) * | 2001-11-10 | 2002-01-02 | Smithkline Beecham | Compounds |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
KR20060079144A (ko) | 2003-06-18 | 2006-07-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 배리어 물질의 원자층 증착 |
US7754604B2 (en) * | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
US6924223B2 (en) * | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
JP4601975B2 (ja) * | 2004-03-01 | 2010-12-22 | 東京エレクトロン株式会社 | 成膜方法 |
US7138337B2 (en) * | 2004-11-30 | 2006-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming tungsten contacts by chemical vapor deposition |
US7429402B2 (en) * | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7235485B2 (en) * | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US20070099422A1 (en) * | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
TW200737307A (en) * | 2005-11-04 | 2007-10-01 | Applied Materials Inc | Apparatus and process for plasma-enhanced atomic layer deposition |
US20080135914A1 (en) * | 2006-06-30 | 2008-06-12 | Krishna Nety M | Nanocrystal formation |
US20080206987A1 (en) * | 2007-01-29 | 2008-08-28 | Gelatos Avgerinos V | Process for tungsten nitride deposition by a temperature controlled lid assembly |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
US20090087550A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Sequential flow deposition of a tungsten silicide gate electrode film |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
US7863176B2 (en) * | 2008-05-13 | 2011-01-04 | Micron Technology, Inc. | Low-resistance interconnects and methods of making same |
US8058170B2 (en) * | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8551885B2 (en) * | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
WO2010077847A2 (en) * | 2008-12-31 | 2010-07-08 | Applied Materials, Inc. | Method of depositing tungsten film with reduced resistivity and improved surface morphology |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8207062B2 (en) * | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
US8579789B1 (en) | 2009-09-23 | 2013-11-12 | Leviticus Cardio Ltd. | Endovascular ventricular assist device, using the mathematical objective and principle of superposition |
US20120199887A1 (en) * | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US9793579B2 (en) | 2013-11-08 | 2017-10-17 | Leviticus Cardio Ltd. | Batteries for use in implantable medical devices |
US10543303B2 (en) | 2013-11-08 | 2020-01-28 | Leviticus Cardio Ltd. | Batteries for use in implantable medical devices |
US9343224B2 (en) | 2011-08-19 | 2016-05-17 | Leviticus Cardio Ltd. | Coplanar energy transfer |
US9642958B2 (en) | 2011-08-19 | 2017-05-09 | Leviticus Cardio Ltd. | Coplanar wireless energy transfer |
US8979728B2 (en) | 2011-08-22 | 2015-03-17 | Leviticus Cardio Ltd. | Safe energy transfer |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
US8845510B2 (en) | 2012-12-11 | 2014-09-30 | Leviticus Cardio Ltd. | Flexible galvanic primary and non galvanic secondary coils for wireless coplanar energy transfer (CET) |
US8859417B2 (en) | 2013-01-03 | 2014-10-14 | Globalfoundries Inc. | Gate electrode(s) and contact structure(s), and methods of fabrication thereof |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9583486B1 (en) * | 2015-11-19 | 2017-02-28 | International Business Machines Corporation | Stable work function for narrow-pitch devices |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
KR102572271B1 (ko) | 2017-04-10 | 2023-08-28 | 램 리써치 코포레이션 | 몰리브덴을 함유하는 저 저항률 막들 |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
EP3768874A4 (en) | 2018-03-19 | 2022-03-30 | Applied Materials, Inc. | METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS |
US11015252B2 (en) | 2018-04-27 | 2021-05-25 | Applied Materials, Inc. | Protection of components from corrosion |
KR20210028273A (ko) * | 2018-07-31 | 2021-03-11 | 램 리써치 코포레이션 | 다층 피처 충진 |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
SG11202109796QA (en) | 2019-03-11 | 2021-10-28 | Lam Res Corp | Precursors for deposition of molybdenum-containing films |
WO2020219332A1 (en) | 2019-04-26 | 2020-10-29 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
EP4175772A1 (en) | 2020-07-03 | 2023-05-10 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
US20220270979A1 (en) * | 2021-02-24 | 2022-08-25 | Applied Materials, Inc. | Formation of metal vias on metal lines |
US11721566B2 (en) * | 2021-07-13 | 2023-08-08 | Applied Materials, Inc. | Sensor assembly and methods of vapor monitoring in process chambers |
US11939668B2 (en) * | 2022-04-26 | 2024-03-26 | Applied Materials, Inc. | Gas delivery for tungsten-containing layer |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0689873A (ja) * | 1992-07-24 | 1994-03-29 | Nippon Steel Corp | 化学気相成長による金属薄膜形成方法 |
JPH0722414A (ja) * | 1993-07-01 | 1995-01-24 | Nec Corp | 半導体装置の製造方法 |
JPH0794727A (ja) * | 1993-09-21 | 1995-04-07 | Toshiba Corp | 半導体装置の製造方法 |
WO1998051838A1 (en) * | 1997-05-16 | 1998-11-19 | Applied Materials, Inc. | Low resistivity w using b2h¿6? |
JPH1154459A (ja) * | 1997-08-07 | 1999-02-26 | Ulvac Japan Ltd | バリア膜形成方法 |
JPH11307480A (ja) * | 1998-04-10 | 1999-11-05 | Applied Materials Inc | 化学気相堆積法によるブランケットタングステン膜の応力を低減する方法 |
Family Cites Families (139)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US645119A (en) * | 1899-12-08 | 1900-03-13 | Edward G Morrison | Tipping-handle and cover holder for kettles. |
FI118158B (sv) | 1999-10-15 | 2007-07-31 | Asm Int | Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess |
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
US5227335A (en) | 1986-11-10 | 1993-07-13 | At&T Bell Laboratories | Tungsten metallization |
JP2555045B2 (ja) | 1987-01-19 | 1996-11-20 | 株式会社日立製作所 | 薄膜形成方法及びその装置 |
JPH0666287B2 (ja) | 1988-07-25 | 1994-08-24 | 富士通株式会社 | 半導体装置の製造方法 |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
US5306666A (en) * | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
KR0167248B1 (ko) | 1995-07-24 | 1999-02-01 | 문정환 | 반도체 기판의 전처리방법 |
US5804488A (en) * | 1995-08-24 | 1998-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a tungsten silicide capacitor having a high breakdown voltage |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US6335280B1 (en) * | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
JPH10306377A (ja) | 1997-05-02 | 1998-11-17 | Tokyo Electron Ltd | 微量ガス供給方法及びその装置 |
TW417249B (en) * | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100385946B1 (ko) * | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
JPH11195621A (ja) | 1997-11-05 | 1999-07-21 | Tokyo Electron Ltd | バリアメタル、その形成方法、ゲート電極及びその形成方法 |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US6009830A (en) * | 1997-11-21 | 2000-01-04 | Applied Materials Inc. | Independent gas feeds in a plasma reactor |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
KR100319888B1 (ko) | 1998-06-16 | 2002-01-10 | 윤종용 | 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법 |
KR100278657B1 (ko) | 1998-06-24 | 2001-02-01 | 윤종용 | 반도체장치의금속배선구조및그제조방법 |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
US6037263A (en) * | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6294836B1 (en) | 1998-12-22 | 2001-09-25 | Cvc Products Inc. | Semiconductor chip interconnect barrier material and fabrication method |
US6374831B1 (en) | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6540838B2 (en) | 2000-11-29 | 2003-04-01 | Genus, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
KR100347379B1 (ko) | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
FI118342B (fi) * | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
US6218298B1 (en) | 1999-05-19 | 2001-04-17 | Infineon Technologies North America Corp. | Tungsten-filled deep trenches |
US6524952B1 (en) * | 1999-06-25 | 2003-02-25 | Applied Materials, Inc. | Method of forming a titanium silicide layer on a substrate |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6326297B1 (en) | 1999-09-30 | 2001-12-04 | Novellus Systems, Inc. | Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer |
AU1208201A (en) | 1999-10-15 | 2001-04-30 | Asm America, Inc. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
AU1088401A (en) | 1999-10-15 | 2001-04-30 | Asm Microchemistry Oy | Deposition of transition metal carbides |
JP5173098B2 (ja) * | 1999-10-15 | 2013-03-27 | エーエスエム インターナショナル エヌ.ヴェー. | ダマシン・メタライゼーションのためのコンフォーマルライニング層 |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6534404B1 (en) | 1999-11-24 | 2003-03-18 | Novellus Systems, Inc. | Method of depositing diffusion barrier for copper interconnect in integrated circuit |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US6627995B2 (en) | 2000-03-03 | 2003-09-30 | Cvc Products, Inc. | Microelectronic interconnect material with adhesion promotion layer and fabrication method |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
US6274484B1 (en) | 2000-03-17 | 2001-08-14 | Taiwan Semiconductor Manufacturing Company | Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
EP1282911B1 (en) | 2000-05-15 | 2018-09-05 | Asm International N.V. | Process for producing integrated circuits |
US6482733B2 (en) * | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
KR100403611B1 (ko) | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | 금속-절연체-금속 구조의 커패시터 및 그 제조방법 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
US7141278B2 (en) * | 2000-06-08 | 2006-11-28 | Asm Genitech Korea Ltd. | Thin film forming method |
KR100387255B1 (ko) | 2000-06-20 | 2003-06-11 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 |
KR100332313B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6818250B2 (en) | 2000-06-29 | 2004-11-16 | The Regents Of The University Of Colorado | Method for forming SIO2 by chemical vapor deposition at room temperature |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
KR100630666B1 (ko) | 2000-08-09 | 2006-10-02 | 삼성전자주식회사 | 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법 |
US6903005B1 (en) | 2000-08-30 | 2005-06-07 | Micron Technology, Inc. | Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics |
US6355561B1 (en) | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US6689220B1 (en) * | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
KR100869326B1 (ko) | 2000-11-30 | 2008-11-18 | 에이에스엠 인터내셔널 엔.브이. | 자기장치용 박막 |
US6428859B1 (en) * | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100386034B1 (ko) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US20020104481A1 (en) * | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US6949450B2 (en) * | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6416822B1 (en) * | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020076481A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020073924A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US6630201B2 (en) * | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR20020056260A (ko) | 2000-12-29 | 2002-07-10 | 박종섭 | 반도체 소자의 금속 게이트 형성방법 |
US20020086111A1 (en) * | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100400033B1 (ko) | 2001-02-08 | 2003-09-29 | 삼성전자주식회사 | 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법 |
KR100395766B1 (ko) | 2001-02-12 | 2003-08-25 | 삼성전자주식회사 | 강유전체 기억 소자 및 그 형성 방법 |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US6660126B2 (en) * | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20020121241A1 (en) * | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
FI109770B (fi) * | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
US7348042B2 (en) | 2001-03-19 | 2008-03-25 | Novellus Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6369430B1 (en) | 2001-04-02 | 2002-04-09 | Motorola, Inc. | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6420189B1 (en) | 2001-04-27 | 2002-07-16 | Advanced Micro Devices, Inc. | Superconducting damascene interconnected for integrated circuit |
US6447933B1 (en) | 2001-04-30 | 2002-09-10 | Advanced Micro Devices, Inc. | Formation of alloy material using alternating depositions of alloy doping element and bulk material |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US6828218B2 (en) * | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
JP2005518088A (ja) * | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | タングステン複合膜の形成 |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US6548906B2 (en) * | 2001-08-22 | 2003-04-15 | Agere Systems Inc. | Method for reducing a metal seam in an interconnect structure and a device manufactured thereby |
US6806145B2 (en) | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
EP1425435A2 (en) * | 2001-09-14 | 2004-06-09 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
KR20030025494A (ko) | 2001-09-21 | 2003-03-29 | 삼성전자주식회사 | 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 |
US6607976B2 (en) * | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6423619B1 (en) | 2001-11-30 | 2002-07-23 | Motorola, Inc. | Transistor metal gate structure that minimizes non-planarity effects and method of formation |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US20030123216A1 (en) * | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6846516B2 (en) | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
KR100476926B1 (ko) * | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | 반도체 소자의 듀얼 게이트 형성방법 |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US7186385B2 (en) * | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US6955211B2 (en) * | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US6772072B2 (en) * | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US7300038B2 (en) * | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US6915592B2 (en) | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
JP4188033B2 (ja) * | 2002-08-30 | 2008-11-26 | 本田技研工業株式会社 | 油圧緩衝機の取付構造 |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
-
2002
- 2002-07-16 JP JP2003532723A patent/JP2005518088A/ja active Pending
- 2002-07-16 TW TW091115885A patent/TW581822B/zh not_active IP Right Cessation
- 2002-07-16 WO PCT/US2002/022585 patent/WO2003029515A2/en active Application Filing
- 2002-11-18 US US10/299,212 patent/US6939804B2/en not_active Expired - Lifetime
-
2005
- 2005-08-18 US US11/206,491 patent/US7384867B2/en not_active Expired - Lifetime
-
2008
- 2008-05-28 US US12/128,499 patent/US7605083B2/en not_active Expired - Fee Related
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0689873A (ja) * | 1992-07-24 | 1994-03-29 | Nippon Steel Corp | 化学気相成長による金属薄膜形成方法 |
JPH0722414A (ja) * | 1993-07-01 | 1995-01-24 | Nec Corp | 半導体装置の製造方法 |
JPH0794727A (ja) * | 1993-09-21 | 1995-04-07 | Toshiba Corp | 半導体装置の製造方法 |
WO1998051838A1 (en) * | 1997-05-16 | 1998-11-19 | Applied Materials, Inc. | Low resistivity w using b2h¿6? |
JPH1154459A (ja) * | 1997-08-07 | 1999-02-26 | Ulvac Japan Ltd | バリア膜形成方法 |
JPH11307480A (ja) * | 1998-04-10 | 1999-11-05 | Applied Materials Inc | 化学気相堆積法によるブランケットタングステン膜の応力を低減する方法 |
Cited By (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007507613A (ja) * | 2003-09-30 | 2007-03-29 | 東京エレクトロン株式会社 | シーケンシャル流量堆積を使用して金属層を堆積させる方法。 |
US8211799B2 (en) | 2005-01-19 | 2012-07-03 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US8513116B2 (en) | 2005-01-19 | 2013-08-20 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
JP2010526441A (ja) * | 2007-05-04 | 2010-07-29 | マイクロン テクノロジー, インク. | タングステンディジット線、その形成方法及び動作方法 |
JP2009144242A (ja) * | 2007-12-05 | 2009-07-02 | Novellus Systems Inc | 低抵抗率タングステン膜の均一性および接着性を高める方法 |
JP2009152612A (ja) * | 2007-12-21 | 2009-07-09 | Novellus Systems Inc | 純タングステンコンタクトおよびラインを形成する方法 |
KR101495372B1 (ko) | 2009-04-16 | 2015-02-24 | 노벨러스 시스템즈, 인코포레이티드 | 작은 임계 치수의 컨택트 및 인터커넥트를 위한 초박 저저항률 텅스텐막 증착 방법 |
JP2010251760A (ja) * | 2009-04-16 | 2010-11-04 | Novellus Systems Inc | 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法 |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US9673146B2 (en) | 2009-04-16 | 2017-06-06 | Novellus Systems, Inc. | Low temperature tungsten film deposition for small critical dimension contacts and interconnects |
US10103058B2 (en) | 2009-08-04 | 2018-10-16 | Novellus Systems, Inc. | Tungsten feature fill |
US9653353B2 (en) | 2009-08-04 | 2017-05-16 | Novellus Systems, Inc. | Tungsten feature fill |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
JP2011192680A (ja) * | 2010-03-11 | 2011-09-29 | Novellus Systems Inc | 低抵抗率およびロバストな微接着特性を有するタングステン薄膜の成膜方法 |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US8673778B2 (en) | 2011-11-25 | 2014-03-18 | Tokyo Electron Limited | Tungsten film forming method |
KR101414358B1 (ko) * | 2011-11-25 | 2014-07-01 | 도쿄엘렉트론가부시키가이샤 | 텅스텐막의 성막 방법 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US10529722B2 (en) | 2015-02-11 | 2020-01-07 | Lam Research Corporation | Tungsten for wordline applications |
US10546751B2 (en) | 2015-05-27 | 2020-01-28 | Lam Research Corporation | Forming low resistivity fluorine free tungsten film without nucleation |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
KR20180120089A (ko) | 2017-04-26 | 2018-11-05 | 도쿄엘렉트론가부시키가이샤 | 텅스텐막을 형성하는 방법 |
US10612139B2 (en) | 2017-04-26 | 2020-04-07 | Tokyo Electron Limited | Method of forming a tungsten film having a low resistance |
US11348795B2 (en) | 2017-08-14 | 2022-05-31 | Lam Research Corporation | Metal fill process for three-dimensional vertical NAND wordline |
US11549175B2 (en) | 2018-05-03 | 2023-01-10 | Lam Research Corporation | Method of depositing tungsten and other metals in 3D NAND structures |
WO2020236749A1 (en) * | 2019-05-22 | 2020-11-26 | Lam Research Corporation | Nucleation-free tungsten deposition |
US11972952B2 (en) | 2019-12-13 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition on 3D NAND structures |
Also Published As
Publication number | Publication date |
---|---|
WO2003029515A2 (en) | 2003-04-10 |
TW581822B (en) | 2004-04-01 |
US20050287807A1 (en) | 2005-12-29 |
US6939804B2 (en) | 2005-09-06 |
US20040014315A1 (en) | 2004-01-22 |
US20080227291A1 (en) | 2008-09-18 |
US7384867B2 (en) | 2008-06-10 |
WO2003029515A3 (en) | 2004-02-12 |
US7605083B2 (en) | 2009-10-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6939804B2 (en) | Formation of composite tungsten films | |
US6827978B2 (en) | Deposition of tungsten films | |
US11075115B2 (en) | Tungsten feature fill | |
US6797340B2 (en) | Method for depositing refractory metal layers employing sequential deposition techniques | |
US9548228B2 (en) | Void free tungsten fill in different sized features | |
US7041335B2 (en) | Titanium tantalum nitride silicide layer | |
KR102185346B1 (ko) | 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진 | |
US6809026B2 (en) | Selective deposition of a barrier layer on a metal film | |
KR20190130046A (ko) | 몰리브덴을 함유하는 저 저항률 막들 | |
US20040009336A1 (en) | Titanium silicon nitride (TISIN) barrier layer for copper diffusion | |
US20100120245A1 (en) | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films | |
US6933021B2 (en) | Method of TiSiN deposition using a chemical vapor deposition (CVD) process | |
US20120237693A1 (en) | In-situ clean process for metal deposition chambers |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050620 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050720 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090127 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090424 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090507 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090527 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090603 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090629 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090706 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090723 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20100914 |