KR20030025494A - 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 - Google Patents

루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 Download PDF

Info

Publication number
KR20030025494A
KR20030025494A KR1020010058557A KR20010058557A KR20030025494A KR 20030025494 A KR20030025494 A KR 20030025494A KR 1020010058557 A KR1020010058557 A KR 1020010058557A KR 20010058557 A KR20010058557 A KR 20010058557A KR 20030025494 A KR20030025494 A KR 20030025494A
Authority
KR
South Korea
Prior art keywords
layer
barrier film
ruthenium
film
conductive pad
Prior art date
Application number
KR1020010058557A
Other languages
English (en)
Inventor
박희숙
최길현
이승환
이윤정
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020010058557A priority Critical patent/KR20030025494A/ko
Priority to US10/127,651 priority patent/US6893915B2/en
Publication of KR20030025494A publication Critical patent/KR20030025494A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor

Abstract

루테늄막 상면에 베리어막을 형성 할때, 할라이드를 포함하지 않는 반응소스를 사용하고 PVD, ALD 또는 MOCVD를 이용하여 베리어막을 형성하거나, 루테늄막과 베리어막 사이에 할라이드를 포함하지 않은 반응 소스를 사용하되 PVD, ALD 또는 MOCVD를 이용하여 형성된 도전 패드층를 형성하여, 루테늄과 금속층간의 콘택이 양호한 반도체 장치 및 그의 제조 방법이 개시된다. 베리어막 또는 도전 패드층이 MOCVD에 의해 형성된 TiN일때 사용될 수 있는 반응 소스는 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)을 포함한다.

Description

루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및 그의 제조 방법{Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same}
본 발명은 루테늄층과 금속층 사이의 콘택을 포함하는 반도체 장치 및 그의 형성 방법에 관한 것으로, 특히 루테늄막과 금속층간의 계면 특성을 향상시킬 수 있는 콘택을 구비한 반도체 장치 및 그의 형성 방법에 관한 것이다.
반도체 장치는 적층된 다수의 도전층과 다수의 유전층으로 이루어지고, 다수의 도전층 중 소정 동작과 관련된 도전층들이 상호 연결되어 콘택을 형성한다. 그런데, 콘택에 있어, 접촉하는 층들 간의 물리적 또는 전기적 특징의 상이, 예를 들면 적층된 도전층간의 열팽창계수의 상이 등에 의한 계면 특성의 열화, 예를 들면 박리현상, 그리고 후속 공정시 발생될 수 있는 도전층 간의 불순물의 확산을 방지하기 위해, 하부 도전층과 상부 도전층 사이에 접착층/베리어막을 형성하고 있다. 예를 들어 실리콘 기판과 비트 라인 콘택 플러그(텅스텐 플러그)사이 또는 실리콘 기판과 스토리 전극 콘택 플러그(텅스텐 플러그) 사이, 상기 텅스텐 플러그와 비트 라인용 알루미늄층 사이, 상기 텅스텐 플러그와 MIS(Metal-Insulator-Silicon) 캐패시터의 하부 전극용 다결정실리콘층 사이 또는 텅스텐 플러그와 MIM(Metal-Insulator-Metal)캐패시터의 하부 전극용 금속층과의 사이, 및 캐패시터의 상부 전극을 형성하는 금속층과 배선용 금속층 예를 들면 알루미늄층 사이 등에, 접합층과 베리어막이 개재되어 있다.
텅스텐과 다결정 실리콘 사이 및 텅스텐과 알루미늄 사이에 접착층/베리어막으로 Ti/TiN막을 사용하고 있다. 배선층으로 구리가 사용될 경우에는 베리어막으로 Ti/TiN외에도 Ta/TaN이 보편적으로 사용되고 있다.
이런 베리어막은 PVD(Physical Vopor Deposition) 또는 CVD(Chemical Vapor Deposition)에 의해 형성될 수 있는데, 반도체 장치가 고집적화됨에 따라 접착층/베리어막의 양호한 단차 도포성을 확보하기 위해, PVD법 보다는 CVD법을 이용하여 접착층/베리어막을 형성하고 있다. 그런데, 접착층/베리어막이 루테늄막 상면에 형성될 경우에는 접착층/베리어막과 루테늄막간의 계면 특성이 불량하게 된다.
보다 자세히 살펴보면, 도 1에 도시된 것과 같이, 반도체 기판(미도시) 상에 CVD를 이용하여 루테늄막을 형성한다(S1). 다음, 루테늄막이 형성된 약 600℃의 반도체 기판이 놓여 있는 CVD 챔버 내로 가스 상태의 TiCl4와 NH3가스를 도입하면, 즉, 6TiCl4(g) +8NH3(g) →6TiN(s) +24HCl(g)+ N2(g) 에 의해 TiN막이 형성된다(S2). 그리고, TiN으로 이루어진 베리어막 상에 배선용 금속층을 형성한다(S3).
그런데, TiN 형성용 챔버가 680℃의 고온이므로, TiN 형성을 위해 도입되는 TiCl4가 NH3와만 반응하지 않고, 루테늄막과도 반응하여, RuCl3(g)와 같은 루테늄-할라이드(halide) 또는 RuCl3*H2O 염이 발생하게 된다. RuCl3(g)은 아웃가싱되면서 TiN을 루테늄막으로부터 박리시키며, RuCl3*H2O 염은 절연체로서 루테늄막과TiN사이에 위치하여 Ru막과 TiN 계면의 전기적 특성을 저하시키게 된다. 이런 현상은 도 2의 SEM 사진의 사본에 나타나 있다.
한편, TiN의 박리 현상은 TiN형성용 소스가 할라이드를 포함하고 있음에 기인하는 것이다. 그런데 접착층인 Ti 또는 Ta 그리고 베리어막인 TaN을 CVD 또는 ALD을 이용하여 형성할 경우에도, 이들의 소스에도 Cl-또는 Br-와 같은 할라이드가 포함되어 있다. 따라서, CVD에 의한 Ti, Ta 또는 TaN의 형성 시에도 TiN에서와 같은 문제가 발생한다.
한편, 반도체 장치의 고집적화하에서 캐패시턴스의 증가를 위해, 귀금속, 예를 들면 루테늄막을 화학기상증착법으로 형성하여, 캐패시터의 상부 전극으로 이용하게 되었다. 따라서, 전술한 이유에 의해 루테늄막을 상부 전극으로 이용한 캐패시터에서도, 캐패시터와 금속 배선과의 사이에 존재하는 Ti/TiN 또는 Ta/TaN과 같은 접착층/베리어막이 캐패시터 상부 전극으로부터 박리되거나 캐패시터의 상부 전극과 금속 배선 사이에 루테늄염이 존재하게 되어, 캐패시터의 누설 전류가 증가하게 되고, 캐패시턴스가 감소하게 되는 문제가 있었다.
따라서 본 발명이 이루고자 하는 기술적 과제는 루테늄막과 금속 배선간의 계면 특성 열화를 방지할 수 있는 콘택을 포함하는 반도체 장치 및 그의 제조 방법을 제공하는 것이다.
또한 본 발명이 이루고자 하는 또 다른 기술적 과제는 루테늄막으로 이루어진 상부 전극을 갖는 캐패시터와 금속 배선간의 계면 특성 열화를 방지할 수 있는콘택을 갖는 반도체 장치 및 그의 형성 방법을 제공하는 것이다.
도 1은 종래 기술에 따른 루테늄막과 금속층간의 콘택 형성 과정의 일예를 보여주는 흐름도이다.
도 2는 종래 기술에 따른 루테늄막과 금속층간의 콘택에서의 금속 배선의 박리 현상을 보여주는 주사 전자 현미경 사진(SEM:Scanning Electronics Miceroscope)의 사본이다.
도 3은 본 발명의 일 관점에 따른 루테늄막과 금속층간의 콘택 형성 과정을 보여주는 흐름도이다.
도 4는 본 발명의 다른 관점에 따른 루테늄막과 금속층간의 콘택 형성 과정을 보여주는 흐름도이다.
도 5는 본 발명에 따라 형성된 루테늄막과 금속층간의 콘택을 보여주는 SEM사진의 사본이다.
도 6a 내지 도 6c는 본 발명의 일실시예에 따라 형성된 루테늄으로 이루어진 캐패시터의 상부 전극과 금속 배선층간의 콘택 형성 과정을 보여주는 도면들이다.
도 7a 및 도 7b는 본 발명의 다른 실시예에 따라 형성된 루테늄으로 이루어진 캐패시터의 상부 전극과 금속 배선층간의 콘택 형성 과정을 보여주는 도면들이다.
본 발명이 이루고자 하는 기술적 과제들을 달성하기 위해, 본 출원인은 루테늄막 상면에 베리어막을 형성 할때, 할라이드를 포함하지 않는 반응소스를 사용하여 PVD, ALD 또는 MOCVD를 이용하여 베리어막을 형성한다.베리어막은 TiN, TaN, WN 또는 MoN일 수 있다. 특히 베리어막이 MOCVD 또는 ALD에 의해 형성된 TiN일때 사용될 수 있는 반응 소스는 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)을 포함한다. 그리고, 베리어막이 MOCVD 또는 ALD에 의해 형성된 TaN일 경우에는 반응 소스로서, TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(pentakis(dimethylamino)tantalum)를 사용할 수 있다.
본 발명이 이루고자 하는 과제들을 달성하기 위해, 루테늄막과 베리어막 사이에 할라이드를 포함하지 않은 반응 소스를 이용하여 형성된 도전 패드층를 형성한다. 도전 패드층은 PVD, MOCVD 및 ALD로 구성된 군에서 선택된 어느 하나에 의해 형성된다. 그리고, 도전 패드층은 Ti, TiN, Ta 및 TaN으로 이루어지는 군에서 선택된 어느 하나로 이루어진다. 특히 도전패드층이 MOCVD 또는 ALD에의해 형성된 Ti, TiN일 경우, 반응 소스로 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium)또는 PDMAT(penakis(dimethylamino)titanium)이 사용될 수 있다. 그리고, 도전패드층이 MOCVD 또는 ALD에 의해 형성된 Ta 또는 TaN일 수 있으며 이때의 반응 소스는 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(penkis(dimethylamino)tantalum)이다. 도전패턴층의 다른 예로 PVD 에 의해 형성된 Ti 또는 Ta이 포함될 수 있다. 루테늄막과 도전패드층에 의해 루텐늄막과 베리어막의 직접적인 접촉이 차단되므로, 베리어막은 공정 챔버내로 공급되는 할라이드를 포함하는 반응 소스를 이용하는 CVD에 의해 형성될 수도 있다.
한편 본 발명의 과제를 달성하기 위한 반도체 장치는, 반도체 기판 상에 형성된 루테늄막, 루테늄막 상에, 할라이드를 포함하지 않은 반응 소스를 이용하여 형성된 도전 패드층, 도전 패드층이 형성된 반도체 기판 상면에 형성되며, 그 내부에 상기 도전 패드층의 일부를 노출시키는 콘택홀을 구비한 평탄화된 절연층, 최소한 상기 콘택홀의 바닥에 형성된 베리어막, 및 베리어막 상면, 콘택홀의 내측벽 및 상기 절연층 상면에 형성된 금속층을 포함하는 루테늄막과 금속층간의 콘택을 포함한다. 여기서, 상기 베리어막은 콘택홀의 내측벽 및 절연층의 상면에도 형성될 수 있다. 그리고, 도전 패드층은 Ta, TaN, Ti 및 TiN으로 구성된 군에서 선택된 어느 하나로 이루어지고, 베리어막은 TiN, TaN, WN 또는 MoN으로 이루어진다.
이하 첨부된 도면을 참고로 본 발명을 상세히 설명한다.
도 3은 본 발명의 일 관점에 따른 루테늄막과 상부 도전층 사이에 위치하는베리어막을 형성하는 과정을 보여준다. 증착 공정이 진행될 챔버(미도시)내에 놓여진 반도체 기판(미도시) 상에 루테늄막을 형성한다(S11). 루테늄막은 PVD, CVD 또는 ALD(Atomic Layer Deposition)에 의해 형성될 수 있다. 다음, 공정 챔버 내로 할라이드 미포함 반응 소스와 "N"을 포함하는 반응 가스를 공급하여 ALD 또는 MOVCD(Metal Organic CVD)를 실시하여 질화물 베리어막(미도시)을 형성한다(S12). 질화물 베리어막으로는 TiN, TaN, WN 또는 MoN이 사용될 수 있다. MOCVD 또는 ALD를 통해 TiN을 형성하기 위해서는 반응 소스인 프리커서로 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)이 사용될 수 있다. 한편, MOCVD 또는 ALD로 TaN 베리어막을 형성하기 위해서는 반응 소스인 프리커서로 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(pentakis(dimethylamino)tantalum)이 사용될 수 있다.
또한 공정 챔버내로 아르곤 가스와 질소 가스를 공급하고, 공정 챔버 내에 금속 타겟, 예를 들면 Ti 또는 Ta과 같은 금속을 제공하고 스퍼터링함으로써 TiN 또는 TaN과 같은 질화물 베리어막을 형성할 수 도 있다(S13).
다음, 질화물 베리어막 상면에 금속층을 형성한다.(S14) 이 금속층은 예를 들면 배선층일 수 있으며, 특히 알루미늄, 알루미늄 합금, 구리 또는 텅스텐으로 이루어질 수 있다.
한편, TiN 베리어막 이전에 접착층으로서 Ti를 형성할 수 있으며, Ti는 PVD를 이용하여 형성한다. 그리고 TaN 베리어막 이전에 접착층으로 Ta를 PVD 또는 MOCVD를 이용하여 형성한다. Ta용 MOCVD 프리커서는 TaN MOCVD 프리커서와 동일한 것을 사용할 수 있다.
본 실시예에서는 베리어막을 형성하기 위한 프리커서 또는 타겟이 Cl-, Br- 또는 F-와 같은 할라이드를 포함하지 않으므로, 이 할라이드와 Ru와의 반응도 원천적으로 발생되지 않는다. 따라서, 베리어막을 박리시키는 성분 예를 들면 RuCl3(g)와 같은 루테늄 할라이드 가스 또는 루테늄막과 도전층 사이에서 절연층으로 작용하는 예를 들면 RuCl3*H2O와 같은 루테늄 할라이드 염이 발생되지 않는다. 결과적으로, 루테늄막과상부 도전층과의 계면의 전기적 및 물리적 특성 열화가 억제된다.
도 4는 본 발명의 다른 실시예에 따른 루테늄막과 상부 도전층간 사이에 위치하는 베리어막을 형성하는 과정을 보여준다.
제 1 실시예에서와 같이 증착 공정이 진행될 챔버(미도시)내에 놓여진 반도체 기판(미도시) 상에 루테늄막을 형성한다(S21). 다음, 공정 챔버 내로 할리이드 미포함 반응 소스 및/또는 반응 가스를 공급하되 원자층 증착법 또는 금속 유기 화학기상증착법을 실시하여 도전 패드층(미도시)을 형성한다(S22). 도전 패드층으로는 Ti, Ta, TiN 또는 TaN이 사용될 수 있다. MOCVD 또는 ALD를 통해 Ti, TiN 도전 패드층을 형성하기 위해서는 반응 소스인 프리커서로 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium),TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)이 사용될 수 있다. 한편, MOCVD 또는 ALD로 Ta 또는 TaN 도전 패드층을 형성하기 위해서는 반응 소스인 프리커서로 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(pentakis(dimethylamino)tantalum)이 사용될 수 있다.
또한 공정 챔버내로 아르곤 가스와 반응 가스(질소 성분을 포함하는 경우와 질소 성분이 포함되지 않는 경우가 있음)를 공급하고, 공정 챔버 내에 금속 타겟, 예를 들면 Ti 또는 Ta과 같은 금속을 제공하고 스퍼터링함으로써 Ti, TiN, Ta 또는 TaN의 도전 패드층을 형성할 수 도 있다(S22).
다음, 도전 패드층 상면에 베리어막을 형성한다(S23). 여기서 베리어막은 도전 패드층에 의해 루테늄막과 직접 접촉하지 않게 된다. 따라서, 할라이드를 포함하는 반응 소스를 사용하는 ALD 또는 CVD을 이용하여 베리어막을 형성하여도, 베리어막이 들린다거나, 루테늄막과 베리어막 계면에 루테늄 할라이드 염이 발생하지 않게 된다. 물론 베리어막은 할라이드를 포함하지 않는 반응 소스(프리커서)를 이용하는 ALD 또는 MOCVD 또는 금속 타겟을 사용하는 PVD에 의해서도 형성될 수 있다. 이어서, 베리어막 상면에 금속층을 형성한다(S24).
한편 도 5는 본 발명의 일 관점이 적용된 베리어막을 갖는 콘택의 주사 전자 현미경 사진으로, 루테늄막 상에 PVD Ti/TiN 후에 CVD Ti/TiN을 실시하여 형성된베리어막 패턴을 보여주고 있다. 도 5를 보면, 베리어막이 루테늄막 표면에 잘 접착되어 있어, 루테늄막의 표면으로부터 박리되지 않음을 알 수 있다. 박리 예방은 PVD Ti/TiN에 의해 달성된 것이며, PVD의 불량한 단차 도포성을 보완하기 위해 이후에 CVD Ti/TiN 공정을 실시하였다.
본 발명의 일관점이 적용된 반도체 장치의 일실시예가 도 6a 내지 도 6c에 도시되어 있다.
도 6a에서, 셀 영역(C)과 주변 회로 영역(P)을 포함하는 반도체 기판 상에 게이트(G1, G2, G3, G4, G5, G6, G7 및 G8)가 형성되어 있다. 게이트(G1, G2, G3, G4, G5, G6, G7 및 G8) 각각은 소자 분리막(102)에 의해 절연되고, 게이트 절연막(104), 폴리실리콘(108), 금속 실리사이드(110) 및 질화막으로 이루어진 캐핑막(111)으로 이루어져 있다. 게이트의 측벽에는 절연막 스페이서(106)가 형성되어 있다. 게이트의 양측 기판에는 소스/드레인 영역(103, 105)이 형성되어 있다. 게이트들은 평탄화된 층간 절연막(116)으로 덮혀있다. 층간 절연막(116) 내에는 소스(104)에 접촉하는 비트라인 연결용 플러그(114b)가 형성되어 있다. 층간 절연막(116) 상면에는 비트라인(120)과 비트 라인용 캐핑층(122)이 형성되어 있다. 한편, 주변 회로 영역에는 게이트(G5)의 금속 실리사이드(110)와 비트라인(120)을 연결시키는 플러그(118c)가 형성되어 있다. 비트라인(120)과 비트라인 캐핑층(122)은 층간 절연막(124)에 의해 덮혀있으며, 층간 절연층(124)과 그 하부의 층간 절연층(116)내에는 드레인(105)과 연결되는 스토리지 전극용 플러그(114a, 114c)가 형성되어 있다.
이상에서 설명한 층간 절연막(124)의 상부에 CVD, ALD 또는 PVD를 이용하여 캐패시터의 스토리지 전극(126)과 유전막(128)을 형성한다. 스토리지 전극(126)은 폴리실리콘 또는 루테늄과 같은 금속으로 형성될 수도 있다. 그리고, 유전막(128)은 SiO2, SiN3, Ta2O5또는 BST등과 같은 물질로 이루어질 수 있다.
도 6b에서, 유전막(128) 상면에 CVD, ALD 또는 PVD를 이용하여 루테늄으로 이루어진 캐패시터 상부 전극(130)을 형성한다. 상부 전극(130)이 형성된 셀 영역(C)과 주변 회로 영역(P)전면에 절연물질을 도포하고 CMP(Chemical Mechanical Polishing), SOG(Spin On Glass) 큐어링 또는 리플로우 공정을 적용하여 평탄화함으로써 평탄화된 층간 절연막(132)을 형성한다. 그리고 층간 절연막(132)의 소정 부분을 식각하여, 캐패시터의 상부 전극(130)의 일부, 주변 회로 영역(P)의 비트라인(120), 소스 영역(103) 및 게이트(G8)의 금속 실리사이드(110)를 노출시키는 콘택홀(134a, 134b, 134c 및 134d)을 형성한다.
도 6c에서, 콘택홀(134a, 134b, 134c 및 134d)을 포함하는 층간 절연막(132) 전면에, 본 발명의 사상에 따른 베리어 물질층(미도시)을 형성한다. 베리어 물질층은 할라이드를 포함하지 않는 반응 소스와 질소 성분을 포함하는 반응 가스를 이용하는 MOCVD 또는 ALD에 의해 형성된다. 다른 방법으로는 베리어 물질용 금속 타겟과 질소 성분을 포함하는 반응 가스를 이용하는 PVD에 의해서도 형성될 수 있다. 그러나, 반도체 장치의 고집적화에 따른 콘택홀(134a, 134b, 134c 및 134d)의 어스펙트비의 증가 그리고 베리어막의 단차 도포성을 확보하기 위해서는 MOCVD 또는 ALD를이용하는 것이 바람직하다. 베리어 물질층으로는 TaN, TiN, WN 또는 MoN 이사용될 수 있다. 특히 TiN을 MOCVD 또는 ALD로 형성하기 위한 반응 소스인 프리커서로는 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)이 사용될 수 있다. 그리고 TaN을 MOCVD 또는 ALD로 형성하기 위한 프리커서로는 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(pentakis(dimethylamino)tantalum)이 사용될 수 있다. ALD 또는 MOCVD가 적용되는 공정 챔버의 온도 및 압력등을 포함하는 공정 조건은 사용되는 프리커서의 종류, 형성하고자 하는 베리어막의 종류, 베리어막의 두께 등에 따라 적절하게 조절될 수 있다.
그리고 베리어 물질층 상면에 배선 물질층(미도시)을 형성한다. 배선 물질층은 화학기상증착법 또는 원자층 증착법에 의해 형성될 수 있으며, 알루미늄, 텅스텐, 구리 또는 알루미늄 함금으로 이루어질 수 있다. 이어 금속 배선 물질층과 베리어 물질층을 패터닝하여 배리어막(136a, 136b, 136c 및 136d)과 배선층(138a, 138b, 138c, 138d)을 형성한다.
베리어막(136a, 136b, 136c 및 136d) 형성시 사용되는 반응 소스, 즉 프리커서 또는 타겟으로부터 루테늄 할라이드 가스 또는 루테늄 할라이드 염이 발생하지 않게 되므로, 상부 전극(130)과 베리어막(136a)의 사이에 틈이 생기거나 루테늄 할라이드 염으로 이루어진 절연층이 형성되지 않게되므로, 캐패시터(126, 128 및130)의 누설전류가 발생되지 않으며, 캐패시턴스 감소도 억제된다.
다음 본 발명의 다른 관점을 적용한 반도체 장치의 다른 실시예가 7a와 도 7b에 도시되어 있다.
도 7a를 참조하면, 캐패시터의 하부 전극 및 유전막 까지의 형성 과정은 도 6a의 것과 동일하며, 상부 전극도 도 6a에서 설명된 방법을 사용한다. 다음, 상부 전극(130) 전면에 도전 패드층(140)을 형성한다. 도전 패드층(140)은 상부 전극을 구성하는 루테늄과 반응하지 않는 물질로 구성되어야 한다. 따라서, 도전 패드층(140)은 할라이드를 포함하지 않은 반응 소스를 이용하는 ALD 또는 MOCVD에 의해 형성된다. 또는 도전 패드층(140)은 금속 타겟을 사용하는 PVD에 의해서도 형성될 수 있다. 이런 도전 패드층(140)은 상부 전극(130)과 양호한 접착력을 가지면서 이후에 형성될 베리어막(도 7b의 146a)과도 양호한 접착력을 유지할 수 있는 물질로 구성되어야 한다. 전술한 요건들을 충족시킬 수 있는 물질로는 Ti, TiN, Ta 또는 TaN 등이 있다. 특히, PVD 법을 이용할 경우에는 Ti, TiN, Ta 및 TaN의 형성이 가능하다. MOCVD를 사용할 경우에는 TiN, Ta 및 TaN의 형성이 가능하다. MOCVD로 형성된 TiN의 도전 패드층을 얻기 위해서는 반응 소스인 프리커서로 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)이 사용가능하다. 그리고 MOCVD로 Ta 또는 TaN의 도전패드층을 얻기 위해서는 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum),TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(pentakis(dimethylamino)tantalum)이 사용가능하다. 그리고, ALD는 MOCVD를 이용하는 방법에서 사용한 것과 동일한 소스를 사용할 수 있다.
다음, 층간 절연막(142)을 형성하고(도 7a), 층간 절연막(142) 내에 콘택홀(144a, 144b, 144c 및 144d)을 형성한다. 그리고 도 7b에 도시된 것과 같이, 콘택홀 내에 베리어막(146a, 146b, 146c 및 146d)과 배선층(148a, 148b, 148c 및 148d) 을 형성한다. 도전 패드층(140)에 의해 루테늄 상부 전극(138)과 베리어막(146a, 146b, 146c 및 146d)이 직접 접촉하지 않으므로, 베리어막(146a, 146b, 146c 및 146d)은 종래 기술에서 사용한 방법 즉 할라이드가 포함된 반응 소스, 예를 들면 TiCl4사용하는 화학기상증착법에 의해 형성될 수도 있다. 물론 할라이드가 포함되지 않는 프리커서 또는 금속 타겟을 기초로 하여 베리어막(146a, 146b, 146c 및 146d)을 형성할 수 도 있다.
도 7b에 도시된 캐패시터와 배선층간의 콘택에서, 베리어막(146a, 146b, 146c 및 146d)과 루테늄 캐패시터 상부 전극 사이에 루테늄 할라이드 가스에 기인한 베리어막의 박리 및/또는 루테늄 할라이드 염에 기인한 캐패시터(126, 128 그리고 130)의 누설전류와 캐패시턴스 감소가 억제되는 효과가 있다.
도 6a 내지 도 6c 그리고 도 7a과 도 7b에서는, 베리어막만을 도시하였으나, 일반적으로, 베리어막 형성 바로 이전에 Ti 또는 Ta의 접착층을 형성하는 단계를 더 실시한다.
도 6a 내지 도 6c에서 적용될 Ti는 PVD 에 의해 형성될 수 있으며, Ta는 PVD 또는 MOCVD에 의해 형성될 수 있다. 그리고 도 7a 내지 도 7b에서 적용될 Ti와 Ta는 할리이드를 포함한 소스를 이용한 CVD, MOCVD, PVD 또는 ALD를 이용하여 형성될 수 있다.
이상에서 설명한 바에 의하면, 루테늄막과 상부 도전층 간의 사이에 위치하는 접착층/베리어막을 형성할 때, 루테늄 할라이드 가스 또는 루테늄 할라이드 염이 발생되지 않게 된다. 따라서, 루테늄막으로부터 접착층/베리어막 또는 상부 도전층이 박리되거나 루테늄막과 상부 도전층간의 도전 특성의 열화가 방지된다. 특히 루테늄막을 캐패시터의 상부 전극으로 사용하는 경우에는 캐패시터의 캐패시턴스의 감소 또는 누설 전류의 증가를 막을 수 있다.

Claims (21)

  1. 소정의 공정 챔버 내에 위치하는 반도체 기판 상에 루테늄막을 형성하는 단계,
    상기 공정 챔버로 공급된 할라이드를 포함하지 않은 반응 소스를 이용하여 상기 루테늄막 상면에 베리어막을 형성하는 단계, 및
    상기 베리어막 상면에 금속층을 형성하는 단계를 포함하는 루테늄막과 금속층간의 콘택을 갖는 반도체 장치의 제조 방법.
  2. 제 1 항에 있어서, 상기 베리어막은 TiN, TaN, WN 또는 MoN인 반도체 장치의 제조 방법.
  3. 제 2 항에 있어서, 상기 베리어막은 PVD, MOCVD 및 ALD로 구성된 군에서 선택된 어느 하나에 의해 형성되는 반도체 장치의 제조 방법.
  4. 제 2 항에 있어서, 상기 베리어막은 MOCVD 또는 ALD에 의해 형성된 TiN이며, 상기 반응 소스로서 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium) 또는 PDMAT(pentakis(dimethylamino)titanium)인 반도체 장치의 제조 방법.
  5. 제 2 항에 있어서, 상기 베리어막은 MOCVD 또는 ALD에 의해 형성된 TaN으로서, 상기 반응 소소는 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(pentakis(dimethylamino)tantalum)인 반도체 장치의 제조 방법.
  6. 제 1 항에 있어서, 상기 루테늄막 형성 단계 이후 및 상기 베리어막 형성 단계 이전에, 상기 루테늄막 상면에 평탄화된 절연층을 형성하는 단계, 상기 절연층의 소정 부분을 식각하여 상기 루테늄막의 일부를 노출시키는 콘택홀을 형성하는단계를 더 포함하고, 상기 베리어막은 상기 콘택홀내의 바닥에서 노출된 루테늄막 상에 형성되는 반도체 장치의 제조 방법.
  7. 소정의 공정 챔버 내에 위치하는 반도체 기판 상에 루테늄막을 형성하는 단계,
    상기 공정 챔버로 공급된 할라이드를 포함하지 않은 반응 소스를 이용하여 상기 루테늄막 상에 도전 패드층을 형성하는 단계,
    상기 도전 패드층 상에 베리어막을 형성하는 단계, 및
    상기 베리어막 상면에 금속층을 형성하는 단계를 포함하는 루테늄막과 금속층간의 콘택을 갖는 반도체 장치의 제조 방법.
  8. 제 7항에 있어서, 상기 도전 패드층은 PVD, MOCVD 및 ALD로 구성된 군에서 선택된 어느 하나에 의해 형성되는 반도체 장치의 제조 방법.
  9. 제 8에 있어서, 상기 도전 패드층은 Ti, TiN, Ta 및 TaN으로 이루어지는 군에서 선택된 어느 하나로 이루어지는 반도체 장치의 제조 방법.
  10. 제 9에 있어서, 상기 도전패드층은 MOCVD 또는 ALD에 의해 형성된 TiN이며, 상기 반응 소스로 PDEAT(pentakis(diethylamino)titanium), TDEAT(tetrakis(diethylamino)titanium), TDMAT(tetrakis(dimethylamino)titanium)또는 PDMAT(penakis(dimethylamino)titanium)인 반도체 장치의 제조 방법.
  11. 제 9에 있어서, 상기 도전패드층은 MOCVD 또는 ALD에 의해 형성된 Ta 또는 TaN이며, 상기 반응 소스는 TBTDET(t-butyltrikis(diethlyamino)tantalum), PDEAT(pentakis(diethylamino)tantalum), TDEAT(tetrakis(diethylamino)tantalum), TDMAT(tetrakis(dimethylamino)tantalum) 또는 PDMAT(penkis(dimethylamino)tantalum)인 반도체 장치의 제조 방법.
  12. 제 9항에 있어서, 상기 도전 패드층은 PVD 에 의해 형성된 Ti 또는 Ta 인 반도체 장치의 제조 방법.
  13. 제 7에 있어서, 상기 베리어막은 상기 공정 챔버내로 공급되는 할라이드를 포함하는 반응 소스를 이용하는 CVD에 의해 형성되는 반도체 장치의 제조 방법.
  14. 제 7항에 있어서, 상기 도전 패드층 형성 단계 이후 및 상기 베리어막 형성 단계 이전에, 상기 도전 패드층이 형성된 반도체 기판 상면에 평탄화된 절연층을 형성하는 단계, 상기 절연층의 소정 부분을 식각하여 상기 도전 패드층의 일부를 노출시키는 콘택홀을 형성하는 단계를 더 포함하고, 상기 베리어막은 상기 콘택홀내의 바닥에서 노출된 도전 패드층 상에 형성되는 반도체 장치의 제조 방법.
  15. 제 6항 또는 제 14항에 있어서, 상기 베리어막은 상기 콘택홀의 내측벽과 상기 내측벽에서 연장된 상기 절연층의 일부에도 형성되는 반도체 장치의 제조 방법.
  16. 제 15항에 있어서, 상기 루테늄막은 캐패시터의 상부 전극인 반도체 장치의 제조 방법.
  17. 제 1 항 또는 제 7항에 있어서, 상기 금속층은 알루미늄, 알루미늄 합금, 텅스텐 및 구리로 이루어진 군에서 선택된 어느 하나인 반도체 장치의 제조 방법.
  18. 반도체 기판 상에 형성된 루테늄막,
    상기 루테늄막 상에, 할라이드를 포함하지 않은 반응 소스를 이용하여 형성된 도전 패드층,
    상기 도전 패드층이 형성된 반도체 기판 상면에 형성되며, 그 내부에 상기 도전 패드층의 일부를 노출시키는 콘택홀을 구비한 평탄화된 절연층,
    최소한 상기 콘택홀의 바닥에 형성된 베리어막, 및
    상기 베리어막 상면, 상기 콘택홀의 내측벽 및 상기 절연층 상면에 형성된 금속층을 포함하는 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치.
  19. 제 18항에 있어서, 상기 베리어막은 상기 콘택홀의 내측벽 및 상기 절연층의 상면에도 형성되는 반도체 장치.
  20. 제 18항에 있어서, 상기 도전 패드층은 Ta, TaN, Ti 및 TiN으로 구성된 군에서 선택된 어느 하나로 이루어진 반도체 장치.
  21. 제 18항에 있어서, 상기 베리어막은 TiN, TaN, WN 또는 Mon인 반도체 장치.
KR1020010058557A 2001-09-21 2001-09-21 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 KR20030025494A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020010058557A KR20030025494A (ko) 2001-09-21 2001-09-21 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US10/127,651 US6893915B2 (en) 2001-09-21 2002-04-22 Semiconductor device having barrier layer between ruthenium layer and metal layer and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010058557A KR20030025494A (ko) 2001-09-21 2001-09-21 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법

Publications (1)

Publication Number Publication Date
KR20030025494A true KR20030025494A (ko) 2003-03-29

Family

ID=19714540

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010058557A KR20030025494A (ko) 2001-09-21 2001-09-21 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법

Country Status (2)

Country Link
US (1) US6893915B2 (ko)
KR (1) KR20030025494A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
WO2017091571A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR100476556B1 (ko) * 2002-04-11 2005-03-18 삼성전기주식회사 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7538024B2 (en) * 2005-05-03 2009-05-26 United Microelectronics Corp. Method of fabricating a dual-damascene copper structure
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR100881728B1 (ko) * 2007-05-04 2009-02-06 주식회사 하이닉스반도체 루테늄전극을 구비한 반도체소자 및 그 제조 방법
US8283485B2 (en) * 2007-06-21 2012-10-09 Air Products And Chemicals, Inc. Process for selectively depositing copper thin films on substrates with copper and ruthenium areas via vapor deposition
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20230411278A1 (en) * 2022-06-16 2023-12-21 Intel Corporation Metal insulator metal (mim) capacitor architectures
US20230411443A1 (en) * 2022-06-16 2023-12-21 Intel Corporation Metal insulator metal (mim) capacitor architectures

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5005102A (en) * 1989-06-20 1991-04-02 Ramtron Corporation Multilayer electrodes for integrated circuit capacitors
US5874364A (en) * 1995-03-27 1999-02-23 Fujitsu Limited Thin film deposition method, capacitor device and method for fabricating the same, and semiconductor device and method for fabricating the same
JP3563819B2 (ja) * 1995-03-28 2004-09-08 アネルバ株式会社 窒化チタン薄膜の作製方法及びその方法に使用される薄膜作製装置
JPH1079481A (ja) * 1996-09-05 1998-03-24 Mitsubishi Electric Corp 導電層接続構造およびその製造方法
JP3028080B2 (ja) 1997-06-18 2000-04-04 日本電気株式会社 半導体装置の構造およびその製造方法
KR19990006108A (ko) 1997-06-30 1999-01-25 김영환 베리어 금속 증착 방법
US6121149A (en) * 1999-04-22 2000-09-19 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
US7416982B2 (en) 2004-12-23 2008-08-26 Dongbu Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
US7605471B2 (en) 2004-12-23 2009-10-20 Dongbu Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
WO2017091571A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems

Also Published As

Publication number Publication date
US20030060042A1 (en) 2003-03-27
US6893915B2 (en) 2005-05-17

Similar Documents

Publication Publication Date Title
KR20030025494A (ko) 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6955983B2 (en) Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US6271136B1 (en) Multi-step plasma process for forming TiSiN barrier
KR100583637B1 (ko) 반도체 소자의 텅스텐 콘택 형성 방법 및 텅스텐 콘택형성 장비
KR100588887B1 (ko) 질화막 형성 방법, 반도체 장치의 배선 형성 방법
US20080242088A1 (en) Method of forming low resistivity copper film structures
US20050023686A1 (en) Multilayer diffusion barrier for copper interconnections
US8759975B2 (en) Approach for reducing copper line resistivity
US20090130843A1 (en) Method of forming low-resistivity recessed features in copper metallization
KR100455382B1 (ko) 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
US7875939B2 (en) Semiconductor device including an ohmic layer
US20050158990A1 (en) Methods of forming metal wiring layers for semiconductor devices
JPH0276233A (ja) 半導体集積回路の製造方法
US20020132469A1 (en) Method for forming metal wiring layer
KR100459717B1 (ko) 반도체 소자의 금속 콘택 형성 방법
US8008774B2 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
US7279416B2 (en) Methods of forming a conductive structure in an integrated circuit device
US7199019B2 (en) Method for forming tungsten contact plug
KR100316021B1 (ko) 텅스텐 질화막 전극을 갖는 캐패시터 형성방법
KR100800136B1 (ko) 반도체 소자의 제조방법
KR100503965B1 (ko) 반도체 소자의 확산 방지막 형성 방법
US20080070405A1 (en) Methods of forming metal wiring layers for semiconductor devices
KR20020095699A (ko) 반도체 소자의 금속 배선 형성 방법
KR20050099113A (ko) 반도체 장치의 커패시터 형성 방법
KR20040045693A (ko) 반도체 소자의 캐패시터 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20040412

Effective date: 20051024