JP2005518088A5 - - Google Patents

Download PDF

Info

Publication number
JP2005518088A5
JP2005518088A5 JP2003532723A JP2003532723A JP2005518088A5 JP 2005518088 A5 JP2005518088 A5 JP 2005518088A5 JP 2003532723 A JP2003532723 A JP 2003532723A JP 2003532723 A JP2003532723 A JP 2003532723A JP 2005518088 A5 JP2005518088 A5 JP 2005518088A5
Authority
JP
Japan
Prior art keywords
tungsten
plasma
ignited
substrate
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003532723A
Other languages
English (en)
Other versions
JP2005518088A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2002/022585 external-priority patent/WO2003029515A2/en
Publication of JP2005518088A publication Critical patent/JP2005518088A/ja
Publication of JP2005518088A5 publication Critical patent/JP2005518088A5/ja
Pending legal-status Critical Current

Links

Description

【特許請求の範囲】
【請求項1】
タングステン複合膜を形成する方法であって:
基板をプロセス環境へ導入するステップと;
タングステン含有前駆物質を前記プロセス環境に供給するステップと;
前記タングステン含有前駆物質を前記基板上に吸着させるステップと;
還元ガスを前記プロセス環境に供給するステップと;
前記還元ガスを前記基板上に吸着させるステップであって、前記基板上、少なくとも一つのアパーチャ内にタングステン核形成層が形成される、前記ステップと;
所望の厚さの前記タングステン核形成層が形成されるまで前記供給ステップと吸着ステップを反復するステップと;
タングステンバルク層を化学気相堆積により前記タングステン核形成層上に形成するステップであって、
所定温度で前記基板を加熱する工程、
第2のタングステン含有前駆物質を含む処理ガスの流れに前記基板を晒す工程、
所定の厚さまで前記タングステンバルク層を堆積する工程、
を備える、前記ステップと;
を備える、前記方法。
【請求項2】
前記タングステン含有前駆物質および第2のタングステン含有前駆物質が六フッ化タングステン(WF6)又はタングステンカルボニル(W(CO)6)である、請求項1記載の方法。
【請求項3】
前記タングステン含有前駆物質が、1秒未満の持続時間で供給される、請求項2記載の方法。
【請求項4】
前記還元ガスは、シラン(SiH4)、ジシラン(Si26)、ジクロロシラン(SiCl22)、ボラン(BH3)、ジボラン(B26)、それらの誘導体から成る群より選択される、請求項2記載の方法。
【請求項5】
前記タングステン含有前駆物質及び前記第2のタングステン含有前駆物質は、六フッ化タングステンを備え、前記還元ガスは、ジボランまたはシランを備える、請求項4記載の方法。
【請求項6】
前記還元ガスは、1秒未満の持続時間で供給される、請求項4記載の方法。
【請求項7】
前記タングステン核形成層の所望の厚さは、約100オングストローム以下である、請求項4記載の方法。
【請求項8】
前記タングステンバルク層の所定の厚さは、約300オングストロームから約1500オングストロームの範囲内である、請求項7記載の方法。
【請求項9】
一方または双方の供給ステップの前にパージステップを更に備える方法であって、前記パージステップは、パージガスを前記プロセス環境へパルス供給する、請求項1記載の方法。
【請求項10】
前記タングステンバルク層は、熱的に増強された化学気相堆積処理、プラズマ増強型化学気相堆積処理、または、これらの組み合わせにより、堆積される、請求項1記載の方法。
【請求項11】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境内で点火される、請求項10記載の方法。
【請求項12】
前記プラズマは、シャワーヘッド及び支持ペデスタル電極間に生成された電界により点火される、請求項11記載の方法。
【請求項13】
前記プラズマは、高周波源に結合された支持ペデスタルから生成された電界により点火される、請求項11記載の方法。
【請求項14】
前記プラズマは、高周波源に結合されたシャワーヘッドから生成された電界により点火される、請求項11記載の方法。
【請求項15】
前記プラズマは、シャワーヘッド及び支持ペデスタルに結合された高周波源から生成された電界により点火される、請求項11記載の方法。
【請求項16】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境外で点火される、請求項10記載の方法。
【請求項17】
前記プラズマは、プロセスチャンバに結合されたリモートプラズマ源により点火される、請求項16記載の方法。
【請求項18】
タングステン複合膜を形成する方法であって:
基板を第1のプロセス環境へ導入するステップと;
タングステン含有前駆物質を前記プロセス環境に供給するステップと;
前記タングステン含有前駆物質を前記基板上に吸着させるステップと;
還元ガスを前記第1のプロセス環境に供給するステップと;
前記還元ガスを前記基板上に吸着させるステップであって、前記基板上にタングステン核形成層が形成される、前記ステップと;
所望の厚さの前記タングステン核形成層が形成されるまで前記供給ステップと吸着ステップを反復するステップと;
タングステンバルク層を化学気相堆積により前記タングステン核形成層上に形成するステップであって、
第2のプロセス環境で前記基板を供給する工程、
前記第2のプロセス環境へ第2のタングステン含有前駆物質の流れを供給する工程、
前記タングステン核形成層上に前記タングステンバルク層を形成する為に前記第2のタングステン含有前駆物質を分解する工程、
を備える、前記ステップと;
を備える、前記方法。
【請求項19】
前記タングステンバルク層は、熱的に増強された化学気相堆積処理、プラズマ増強型化学気相堆積処理、または、これらの組み合わせにより、堆積される、請求項18記載の方法。
【請求項20】
プラズマは、プラズマ増強型化学気相堆積処理中に前記第2のプロセス環境内で点火される、請求項19記載の方法。
【請求項21】
前記プラズマは、シャワーヘッド及び支持ペデスタル電極間に生成された電界により点火される、請求項20記載の方法。
【請求項22】
前記プラズマは、高周波源に結合された支持ペデスタルから生成された電界により点火される、請求項20記載の方法。
【請求項23】
前記プラズマは、高周波源に結合されたシャワーヘッドから生成された電界により点火される、請求項20記載の方法。
【請求項24】
前記プラズマは、シャワーヘッド及び支持ペデスタルに結合された高周波源から生成された電界により点火される、請求項20記載の方法。
【請求項25】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境外で点火される、請求項19記載の方法。
【請求項26】
前記プラズマは、プロセスチャンバに結合されたリモートプラズマ源により点火される、請求項25記載の方法。
【請求項27】
タングステン複合膜を形成する方法であって:
基板を第1のプロセス環境へ導入するステップと;
タングステン含有前駆物質を前記プロセス環境に供給するステップと;
前記タングステン含有前駆物質を前記基板上に吸着させるステップと;
第1のプロセス環境をパージするステップと;
前記基板上にタングステン核形成層を形成する為に、前記第1のプロセス環境へ還元ガスを供給するステップと;
前記第1のプロセス環境をパージするステップと;
所望の厚さまで前記タングステン核形成層を形成した後、前記第1のプロセス環境から前記基板を取り出すステップと;
前記基板を第2のプロセス環境に供給するステップと;
第2のタングステン含有前駆物質を含むプロセスガスの流れに前記基板を晒すことにより、前記タングステン核形成層上にタングステンバルク層を堆積するステップと;
を備える、前記方法。

【請求項28】
前記タングステンバルク層は、熱的に増強された化学気相堆積処理、プラズマ増強型化学気相堆積処理、または、これらの組み合わせにより、堆積される、請求項27記載の方法。
【請求項29】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境内で点火される、請求項28記載の方法。
【請求項30】
前記プラズマは、シャワーヘッド及び支持ペデスタル電極間に生成された電界により点火される、請求項29記載の方法。
【請求項31】
前記プラズマは、高周波源に結合された支持ペデスタルから生成された電界により点火される、請求項29記載の方法。
【請求項32】
前記プラズマは、高周波源に結合されたシャワーヘッドから生成された電界により点火される、請求項29記載の方法。
【請求項33】
前記プラズマは、シャワーヘッド及び支持ペデスタルに結合された高周波源から生成された電界により点火される、請求項29記載の方法。
【請求項34】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境外で点火される、請求項28記載の方法。
【請求項35】
前記プラズマは、プロセスチャンバに結合されたリモートプラズマ源により点火される、請求項34記載の方法。
【請求項36】
タングステン複合膜を形成する方法であって:
プロセスチャンバ内で基板を配置するステップと;
連続的に前記基板をタングステン含有前駆物質および還元ガスに晒す工程を備える周期的堆積プロセスにより前記基板上に核形成層を形成するステップと;
化学気相堆積プロセスにより前記核形成層上にタングステンバルク層を形成するステップであって、前記化学気相堆積プロセスは:
第2のタングステン含有前駆物質を含む処理ガスの流れに前記基板を晒す工程、
所定の厚さまで前記核形成層上に前記タングステンバルク層を堆積する工程、
を備える、前記ステップと;
を備える、前記方法。
【請求項37】
前記タングステンバルク層は、熱的に増強された化学気相堆積処理、プラズマ増強型化学気相堆積処理、または、これらの組み合わせにより、堆積される、請求項36記載の方法。
【請求項38】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境内で点火される、請求項37記載の方法。
【請求項39】
前記プラズマは、シャワーヘッド及び支持ペデスタル電極間に生成された電界により点火される、請求項38記載の方法。
【請求項40】
前記プラズマは、高周波源に結合された支持ペデスタルから生成された電界により点火される、請求項38記載の方法。
【請求項41】
前記プラズマは、高周波源に結合されたシャワーヘッドから生成された電界により点火される、請求項38記載の方法。
【請求項42】
前記プラズマは、シャワーヘッド及び支持ペデスタルに結合された高周波源から生成された電界により点火される、請求項38記載の方法。
【請求項43】
プラズマは、プラズマ増強型化学気相堆積処理中に前記プロセス環境外で点火される、請求項37記載の方法。
【請求項44】
前記プラズマは、プロセスチャンバに結合されたリモートプラズマ源により点火される、請求項43記載の方法。
【請求項45】
タングステン複合膜を形成する方法であって:
プロセスチャンバ内で基板を配置するステップと;
連続的に前記基板をタングステン含有前駆物質および還元ガスに晒す工程を備える周期的堆積プロセスにより前記基板上に核形成層を形成するステップと;
プラズマ増強型化学気相堆積プロセスにより前記核形成層上にタングステンバルク層を形成するステップであって、前記プラズマ増強型化学気相堆積プロセスは:
第2のタングステン含有前駆物質を含む処理ガスの流れに前記基板を晒す工程、
所定の厚さまで前記タングステンバルク層を堆積する工程、
を備える、前記ステップと;
を備える、前記方法。
【請求項46】
前記プラズマは、シャワーヘッド及び支持ペデスタル電極間に生成された電界により点火される、請求項45記載の方法。
【請求項47】
前記プラズマは、高周波源に結合された支持ペデスタルから生成された電界により点火される、請求項45記載の方法。
【請求項48】
前記プラズマは、高周波源に結合されたシャワーヘッドから生成された電界により点火される、請求項45記載の方法。
【請求項49】
前記プラズマは、シャワーヘッド及び支持ペデスタルに結合された高周波源から生成された電界により点火される、請求項45記載の方法。
【請求項50】
前記プラズマは、プロセスチャンバに結合されたリモートプラズマ源により点火される、請求項45記載の方法。
JP2003532723A 2001-07-16 2002-07-16 タングステン複合膜の形成 Pending JP2005518088A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30602701P 2001-07-16 2001-07-16
PCT/US2002/022585 WO2003029515A2 (en) 2001-07-16 2002-07-16 Formation of composite tungsten films

Publications (2)

Publication Number Publication Date
JP2005518088A JP2005518088A (ja) 2005-06-16
JP2005518088A5 true JP2005518088A5 (ja) 2006-01-05

Family

ID=23183422

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003532723A Pending JP2005518088A (ja) 2001-07-16 2002-07-16 タングステン複合膜の形成

Country Status (4)

Country Link
US (3) US6939804B2 (ja)
JP (1) JP2005518088A (ja)
TW (1) TW581822B (ja)
WO (1) WO2003029515A2 (ja)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7056278B2 (en) * 2001-06-01 2006-06-06 Adamed Sp. Z.O.O. Method of treating overactive bladder in women
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
GB0127145D0 (en) * 2001-11-10 2002-01-02 Smithkline Beecham Compounds
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
JP4601975B2 (ja) * 2004-03-01 2010-12-22 東京エレクトロン株式会社 成膜方法
US7138337B2 (en) * 2004-11-30 2006-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming tungsten contacts by chemical vapor deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235485B2 (en) * 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
EP2047502A4 (en) * 2006-06-30 2009-12-30 Applied Materials Inc NANO CRYSTAL EDUCATION
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
CN102265383B (zh) * 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8579789B1 (en) 2009-09-23 2013-11-12 Leviticus Cardio Ltd. Endovascular ventricular assist device, using the mathematical objective and principle of superposition
JP5729911B2 (ja) * 2010-03-11 2015-06-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated タングステン膜の製造方法およびタングステン膜を堆積させる装置
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US9642958B2 (en) 2011-08-19 2017-05-09 Leviticus Cardio Ltd. Coplanar wireless energy transfer
US10543303B2 (en) 2013-11-08 2020-01-28 Leviticus Cardio Ltd. Batteries for use in implantable medical devices
US9793579B2 (en) 2013-11-08 2017-10-17 Leviticus Cardio Ltd. Batteries for use in implantable medical devices
US9343224B2 (en) 2011-08-19 2016-05-17 Leviticus Cardio Ltd. Coplanar energy transfer
US8979728B2 (en) 2011-08-22 2015-03-17 Leviticus Cardio Ltd. Safe energy transfer
JP5959991B2 (ja) * 2011-11-25 2016-08-02 東京エレクトロン株式会社 タングステン膜の成膜方法
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US8845510B2 (en) 2012-12-11 2014-09-30 Leviticus Cardio Ltd. Flexible galvanic primary and non galvanic secondary coils for wireless coplanar energy transfer (CET)
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
JP6788545B2 (ja) 2017-04-26 2020-11-25 東京エレクトロン株式会社 タングステン膜を形成する方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN112514052A (zh) * 2018-07-31 2021-03-16 朗姆研究公司 多层特征填充
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
KR20210158419A (ko) * 2019-05-22 2021-12-30 램 리써치 코포레이션 핵생성-프리 텅스텐 증착
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
US20220270979A1 (en) * 2021-02-24 2022-08-25 Applied Materials, Inc. Formation of metal vias on metal lines
US11721566B2 (en) * 2021-07-13 2023-08-08 Applied Materials, Inc. Sensor assembly and methods of vapor monitoring in process chambers
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US645119A (en) * 1899-12-08 1900-03-13 Edward G Morrison Tipping-handle and cover holder for kettles.
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US5227335A (en) 1986-11-10 1993-07-13 At&T Bell Laboratories Tungsten metallization
JP2555045B2 (ja) 1987-01-19 1996-11-20 株式会社日立製作所 薄膜形成方法及びその装置
JPH0666287B2 (ja) 1988-07-25 1994-08-24 富士通株式会社 半導体装置の製造方法
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
JP2684960B2 (ja) * 1993-07-01 1997-12-03 日本電気株式会社 半導体装置の製造方法
JPH0794727A (ja) * 1993-09-21 1995-04-07 Toshiba Corp 半導体装置の製造方法
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11195621A (ja) 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11307480A (ja) * 1998-04-10 1999-11-05 Applied Materials Inc 化学気相堆積法によるブランケットタングステン膜の応力を低減する方法
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
JP5173098B2 (ja) * 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
KR100387255B1 (ko) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition

Similar Documents

Publication Publication Date Title
JP2005518088A5 (ja)
US10699903B2 (en) Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
US7763115B2 (en) Vacuum film-forming apparatus
TW258821B (ja)
US7779785B2 (en) Production method for semiconductor device and substrate processing apparatus
JP4585692B2 (ja) 薄膜形成方法
US7262125B2 (en) Method of forming low-resistivity tungsten interconnects
US20030215570A1 (en) Deposition of silicon nitride
KR100355321B1 (ko) 성막방법및장치
JP4651955B2 (ja) 成膜方法
JP2005533181A (ja) タングステン層のパルス核生成堆積
JP2005505690A5 (ja)
CN102197459A (zh) 三元化合物的气相沉积方法
JPH11176770A (ja) 半導体デバイスの金属層形成方法
KR20070028858A (ko) 인시튜 질화물(in-situ nitride) 박막증착방법
EP0630989A2 (en) Method of plasma chemical vapor deposition of layer with improved interface
CN100523287C (zh) 成膜装置和成膜方法
JP2001011629A (ja) タングステン膜の成膜方法、半導体デバイス及び成膜装置
JPH1064847A (ja) タングステン材料の成膜方法、サセプタ及び成膜装置
JP7101191B2 (ja) アモルファスシリコン間隙充填を改善するための表面改質
JP2002510143A (ja) 金属の化学蒸着におけるエッジ効果を除去する方法
WO1999053537A1 (fr) Procede servant a relacher les contraintes dans une pellicule de couverture en tungstene obtenue par depot chimique en phase vapeur
JP2005248206A (ja) 成膜方法
JP2000058484A5 (ja)