JP2005533181A - タングステン層のパルス核生成堆積 - Google Patents
タングステン層のパルス核生成堆積 Download PDFInfo
- Publication number
- JP2005533181A JP2005533181A JP2004521971A JP2004521971A JP2005533181A JP 2005533181 A JP2005533181 A JP 2005533181A JP 2004521971 A JP2004521971 A JP 2004521971A JP 2004521971 A JP2004521971 A JP 2004521971A JP 2005533181 A JP2005533181 A JP 2005533181A
- Authority
- JP
- Japan
- Prior art keywords
- tungsten
- processing chamber
- gas
- purge gas
- seconds
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 95
- 239000010937 tungsten Substances 0.000 title claims abstract description 95
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical group [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 94
- 230000006911 nucleation Effects 0.000 title claims abstract description 49
- 238000010899 nucleation Methods 0.000 title claims abstract description 49
- 230000008021 deposition Effects 0.000 title abstract description 14
- 238000012545 processing Methods 0.000 claims abstract description 79
- 238000000034 method Methods 0.000 claims abstract description 40
- 239000000758 substrate Substances 0.000 claims abstract description 35
- 239000002243 precursor Substances 0.000 claims abstract description 28
- 239000006227 byproduct Substances 0.000 claims abstract description 25
- 238000000151 deposition Methods 0.000 claims abstract description 21
- 239000007789 gas Substances 0.000 claims description 91
- 238000010926 purge Methods 0.000 claims description 30
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 22
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 15
- 229910000077 silane Inorganic materials 0.000 claims description 15
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 claims description 15
- 229910052786 argon Inorganic materials 0.000 claims description 11
- 238000004519 manufacturing process Methods 0.000 claims description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 claims description 6
- 239000001307 helium Substances 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 6
- 229910052754 neon Inorganic materials 0.000 claims description 5
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 5
- 239000000203 mixture Substances 0.000 claims description 4
- 229910000085 borane Inorganic materials 0.000 claims description 3
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 claims description 3
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 claims description 3
- 238000007599 discharging Methods 0.000 claims 2
- 238000005137 deposition process Methods 0.000 abstract description 12
- 230000008569 process Effects 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 230000004888 barrier function Effects 0.000 description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 239000013529 heat transfer fluid Substances 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 239000012530 fluid Substances 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 239000012495 reaction gas Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 238000011534 incubation Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000004627 transmission electron microscopy Methods 0.000 description 1
- -1 tungsten nitride Chemical class 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/02—Elements
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Physics & Mathematics (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
[0001]本発明は、一般的にタングステン層を形成、より具体的には、適用量の核生成堆積処理を使用してタングステン層を形成する方法に関する。
[0002]集積回路は、単一チップ上に何百万個のコンポーネント(例えば、トランジスタ、コンデンサ、抵抗)を含み得る複雑なデバイスに進化した。チップ設計の進化は、絶えず、より迅速な回路と、より高い回路密度を要求している。より高い回路密度には、改善された層の均一性と集積回路コンポーネントの寸法を減少させることが必要である。
[0023]図2は、タングステン核生成層の形成の為に使用された様々なステップを詳述する処理シーケンス200を例示する。これらのステップは、図1を参照して前述されたものに似たCVD処理チャンバ内で実行されてもよい。ステップ202内に示されているように、基板は、CVD処理チャンバに供給される。基板は、例えば、シリコン基板であって、その上部に1以上の材料層が堆積されても、堆積されていなくてもよい。1以上の材料層は、例えば内部に0.25ミクロン未満のアパーチャを有する酸化層でもよい。0.25ミクロン未満のアパーチャは、通常、約8:1を超えるアスペクト比(例えば、特徴部の長さで割った特徴部の幅)を有する。
Claims (23)
- タングステン核生成層を処理チャンバ内の基板上に堆積する方法であって:
(a)タングステン含有前駆体及び還元ガスを備える混合ガス流を処理チャンバに供給し、基板上にタングステンを堆積するステップと;
(b)ステップ(a)中に発生した反応副産物を前記処理チャンバから除去するステップと;
(c)前記還元ガス流を前記処理チャンバに供給し、前記処理チャンバ内で残留タングステン含有前駆体と反応させ、前記基板上にタングステンを堆積するステップと;
(d)ステップ(c)中に発生した反応副産物を前記処理チャンバから除去するステップと;
を備える、前記方法。 - ステップ(a)−(d)を、約500Åまでのタングステン核生成層の厚みが堆積されるまで繰り返す、請求項1記載の方法。
- 前記タングステン含有前駆体は、タングステン六フッ化物(WF6)を備える、請求項1記載の方法。
- ステップ(a)とステップ(c)の前記還元ガスは、シラン(SiH4)、ジシラン(Si2H6)、ボラン(BH3)、ジボラン(B2H6)、これらの組合せから成る群から選択される、請求項1記載の方法。
- ステップ(a)の前記混合ガスは、最大約0.1秒間から約10秒間で提供される、請求項1記載の方法。
- 前記タングステン含有前駆体と前記還元ガスは、タングステン含有前駆体:還元ガスの比が約1:1から約5:1で供給される、請求項1記載の方法。
- ステップ(b)とステップ(d)の前記反応副産物は、処理チャンバにパージガスを供給して前記パージガスと前記反応副産物の両方を処理チャンバから排出することにより、前記処理チャンバから除去する、請求項1記載の方法。
- 前記パージガスは、窒素(N2)、ヘリウム(He)、アルゴン(Ar)、ゼオン(Xe)、ネオン(Ne)から成る群から選択された1以上のガスを備える、請求項7記載の方法。
- 前記パージガスは、最大約10秒間、前記処理チャンバに提供される、請求項7記載の方法。
- ステップ(c)の前記還元ガスは、最大約10秒間で前記処理チャンバに提供される、請求項1記載の方法。
- ステップ(b)とステップ(c)の時間周期は、重複している、請求項1記載の方法。
- タングステン核生成層を処理チャンバ内の基板上に堆積する方法であって:
(a)タングステン含有前駆体及び還元ガスを備える混合ガス流を約0.1秒間から約10秒間で処理チャンバに供給し、基板上にタングステンを堆積するステップと;
(b)ステップ(a)中に発生した反応副産物を、前記処理チャンバにパージガスを供給して前記パージガス及び前記反応の副産物の両方を排出することにより、前記処理チャンバから除去するステップと;
(c)前記還元ガス流を前記処理チャンバに最大約10秒間で供給し、前記処理チャンバ内で残留タングステン含有前駆体と反応させ、前記基板上にタングステンを堆積するステップと;
(d)ステップ(c)中に発生した反応副産物を、前記処理チャンバにパージガスを提供して前記パージガス及び前記反応の副産物の両方を排出することにより、前記処理チャンバから除去するステップと;
(e)最大約500Åのタングステン生成層の厚みが堆積されるまで、ステップ(a)−(d)を繰り返す、前記方法。 - 前記タングステン含有前駆体は、タングステン六フッ化物(WF6)を備える、請求項12記載の方法。
- ステップ(a)とステップ(c)の前記還元ガスは、シラン(SiH4)、ジシラン(Si2H6)、ボラン(BH3)、ジボラン(B2H6)、これらの組合せから成る群から選択される、請求項12記載の方法。
- 前記タングステン含有前駆体と前記還元ガスは、タングステン含有前駆体:還元ガスの比が約1:1から約5:1で供給される、請求項12記載の方法。
- 前記パージガスは、窒素(N2)、ヘリウム(He)、アルゴン(Ar)、ゼオン(Xe)、ネオン(Ne)から成る群から選択された1以上のガスを備える、請求項12記載の方法。
- ステップ(b)とステップ(d)の前記パージガスは、最大約10秒間で、前記処理チャンバに供給される、請求項7記載の方法。
- ステップ(b)とステップ(c)の時間周期は、重複している、請求項12記載の方法。
- タングステン核生成層を処理チャンバ内の基板上に堆積する方法であって:
(a)タングステン六フッ化物(WF6)とシラン(SiH4)を備える混合ガスを処理チャンバに最大約10秒間で供給して基板上にタングステンを堆積するステップと;
(b)ステップ(a)中に発生した反応副産物を、前記処理チャンバにパージガスを提供して前記パージガス及び前記反応の副産物の両方を排出することにより、前記処理チャンバから除去するステップと;
(c)シラン(SiH4)ガス流を前記処理チャンバに最大約10秒間で供給し、前記処理チャンバ内で残留タングステン六フッ化物(WF6)と反応させ、前記基板上にタングステンを堆積するステップと;
(d)ステップ(c)中に発生した反応の副産物を、前記処理チャンバにパージガスを供給して前記パージガス及び前記反応副産物の両方を排出することにより、前記処理チャンバから除去するステップと;
(e)最大約500Åのタングステン生成層の厚みが堆積されるまで、ステップ(a)−(d)を繰り返す、前記方法。
を備える、前記方法。 - タングステン六フッ化物(WF6)とシラン(SiH4)は、タングステン六フッ化物(WF6):シラン(SiH4)の比が約1:1から約5:1で供給される、請求項19記載の方法。
- ステップ(b)とステップ(d)の前記パージガスは、窒素(N2)、ヘリウム(He)、アルゴン(Ar)、ゼオン(Xe)、ネオン(Ne)から成る群から選択された1以上のガスを備える、請求項19記載の方法。
- ステップ(b)とステップ(d)の前記パージガスは、最大約10秒間で、前記処理チャンバに提供される、請求項19記載の方法。
- ステップ(b)とステップ(c)の時間周期は、重複している、請求項19記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/194,629 US7211144B2 (en) | 2001-07-13 | 2002-07-12 | Pulsed nucleation deposition of tungsten layers |
PCT/US2003/022477 WO2004007794A2 (en) | 2002-07-12 | 2003-07-14 | Pulsed nucleation deposition of tungsten layers |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2005533181A true JP2005533181A (ja) | 2005-11-04 |
Family
ID=30114793
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004521971A Pending JP2005533181A (ja) | 2002-07-12 | 2003-07-14 | タングステン層のパルス核生成堆積 |
Country Status (3)
Country | Link |
---|---|
US (2) | US7211144B2 (ja) |
JP (1) | JP2005533181A (ja) |
WO (1) | WO2004007794A2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20180120089A (ko) | 2017-04-26 | 2018-11-05 | 도쿄엘렉트론가부시키가이샤 | 텅스텐막을 형성하는 방법 |
Families Citing this family (112)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI119941B (fi) * | 1999-10-15 | 2009-05-15 | Asm Int | Menetelmä nanolaminaattien valmistamiseksi |
US6482262B1 (en) * | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7732327B2 (en) * | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US7262125B2 (en) * | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7589017B2 (en) * | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US7141494B2 (en) * | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7005372B2 (en) * | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7955972B2 (en) * | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP4938962B2 (ja) | 2001-09-14 | 2012-05-23 | エーエスエム インターナショナル エヌ.ヴェー. | ゲッタリング反応物を用いるaldによる金属窒化物堆積 |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US7398090B2 (en) * | 2002-09-13 | 2008-07-08 | Hewlett-Packard Development Company, L.P. | Defining a smart area |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7754604B2 (en) * | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
US7405143B2 (en) | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
JP2006324610A (ja) * | 2005-05-20 | 2006-11-30 | Matsushita Electric Ind Co Ltd | 基板処理装置及び基板処理方法 |
JP2007046134A (ja) * | 2005-08-11 | 2007-02-22 | Tokyo Electron Ltd | 金属系膜形成方法及びプログラムを記録した記録媒体 |
US20070065597A1 (en) * | 2005-09-15 | 2007-03-22 | Asm Japan K.K. | Plasma CVD film formation apparatus provided with mask |
US8993055B2 (en) * | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
WO2007142690A2 (en) | 2005-11-04 | 2007-12-13 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
KR100651602B1 (ko) * | 2005-12-14 | 2006-11-30 | 동부일렉트로닉스 주식회사 | 반도체 장치의 금속 배선 형성 방법 |
JP2007194468A (ja) * | 2006-01-20 | 2007-08-02 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8268409B2 (en) * | 2006-10-25 | 2012-09-18 | Asm America, Inc. | Plasma-enhanced deposition of metal carbide films |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US7713874B2 (en) * | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
KR100890047B1 (ko) * | 2007-06-28 | 2009-03-25 | 주식회사 하이닉스반도체 | 반도체소자의 배선 형성방법 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
US8049178B2 (en) * | 2007-08-30 | 2011-11-01 | Washington State University Research Foundation | Semiconductive materials and associated uses thereof |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
US20090315093A1 (en) * | 2008-04-16 | 2009-12-24 | Asm America, Inc. | Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds |
US7666474B2 (en) | 2008-05-07 | 2010-02-23 | Asm America, Inc. | Plasma-enhanced pulsed deposition of metal carbide films |
US8058170B2 (en) * | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8551885B2 (en) * | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US8071478B2 (en) * | 2008-12-31 | 2011-12-06 | Applied Materials, Inc. | Method of depositing tungsten film with reduced resistivity and improved surface morphology |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US8193089B2 (en) * | 2009-07-13 | 2012-06-05 | Seagate Technology Llc | Conductive via plug formation |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8207062B2 (en) * | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
US10513772B2 (en) | 2009-10-20 | 2019-12-24 | Asm International N.V. | Process for passivating dielectric films |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US9129945B2 (en) * | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US20120199887A1 (en) * | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US8956683B2 (en) | 2011-06-16 | 2015-02-17 | Zimmer, Inc. | Chemical vapor infiltration apparatus and process |
AU2012271616B2 (en) | 2011-06-16 | 2015-05-07 | Zimmer, Inc. | Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same |
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
KR101306811B1 (ko) | 2012-05-09 | 2013-09-10 | 한국화학연구원 | 신규의 텅스텐 아미노아미드 할로겐 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법 |
KR101306812B1 (ko) | 2012-05-09 | 2013-09-10 | 한국화학연구원 | 신규의 텅스텐 실릴아미드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법 |
KR101306813B1 (ko) | 2012-05-09 | 2013-09-10 | 한국화학연구원 | 신규의 텅스텐 아미노아미드 아지드 화합물, 이의 제조방법 및 이를 이용하여 박막을 형성하는 방법 |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US8859417B2 (en) | 2013-01-03 | 2014-10-14 | Globalfoundries Inc. | Gate electrode(s) and contact structure(s), and methods of fabrication thereof |
US9412602B2 (en) | 2013-03-13 | 2016-08-09 | Asm Ip Holding B.V. | Deposition of smooth metal nitride films |
US8841182B1 (en) | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US9394609B2 (en) | 2014-02-13 | 2016-07-19 | Asm Ip Holding B.V. | Atomic layer deposition of aluminum fluoride thin films |
US10643925B2 (en) | 2014-04-17 | 2020-05-05 | Asm Ip Holding B.V. | Fluorine-containing conductive films |
US9595470B2 (en) * | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
KR102216575B1 (ko) | 2014-10-23 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들 |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9941425B2 (en) | 2015-10-16 | 2018-04-10 | Asm Ip Holdings B.V. | Photoactive devices and materials |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
KR20230127377A (ko) | 2017-04-10 | 2023-08-31 | 램 리써치 코포레이션 | 몰리브덴을 함유하는 저 저항률 막들 |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
WO2018204709A1 (en) | 2017-05-05 | 2018-11-08 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
KR20200032756A (ko) | 2017-08-14 | 2020-03-26 | 램 리써치 코포레이션 | 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스 |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
KR20190065962A (ko) | 2017-12-04 | 2019-06-12 | 에이에스엠 아이피 홀딩 비.브이. | 유전체와 금속 표면 상에 SiOC의 균일한 증착 |
US10633740B2 (en) | 2018-03-19 | 2020-04-28 | Applied Materials, Inc. | Methods for depositing coatings on aerospace components |
EP3784815A4 (en) | 2018-04-27 | 2021-11-03 | Applied Materials, Inc. | PROTECTION OF COMPONENTS AGAINST CORROSION |
KR20200140391A (ko) | 2018-05-03 | 2020-12-15 | 램 리써치 코포레이션 | 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법 |
WO2020028587A1 (en) | 2018-07-31 | 2020-02-06 | Lam Research Corporation | Multi-layer feature fill |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
CN113424300A (zh) | 2018-12-14 | 2021-09-21 | 朗姆研究公司 | 在3d nand结构上的原子层沉积 |
JP2022523689A (ja) | 2019-01-28 | 2022-04-26 | ラム リサーチ コーポレーション | 金属膜の蒸着 |
US12002679B2 (en) | 2019-04-11 | 2024-06-04 | Lam Research Corporation | High step coverage tungsten deposition |
US11732353B2 (en) | 2019-04-26 | 2023-08-22 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
CN115734826A (zh) | 2020-07-03 | 2023-03-03 | 应用材料公司 | 用于翻新航空部件的方法 |
US11976002B2 (en) | 2021-01-05 | 2024-05-07 | Applied Materials, Inc. | Methods for encapsulating silver mirrors on optical structures |
US11721566B2 (en) * | 2021-07-13 | 2023-08-08 | Applied Materials, Inc. | Sensor assembly and methods of vapor monitoring in process chambers |
US11939668B2 (en) * | 2022-04-26 | 2024-03-26 | Applied Materials, Inc. | Gas delivery for tungsten-containing layer |
Family Cites Families (337)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI118158B (sv) * | 1999-10-15 | 2007-07-31 | Asm Int | Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess |
US6482262B1 (en) | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
US3785862A (en) * | 1970-12-14 | 1974-01-15 | Rca Corp | Method for depositing refractory metals |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
FI64878C (fi) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
GB2162207B (en) | 1984-07-26 | 1989-05-10 | Japan Res Dev Corp | Semiconductor crystal growth apparatus |
JPH0766910B2 (ja) | 1984-07-26 | 1995-07-19 | 新技術事業団 | 半導体単結晶成長装置 |
US5294286A (en) | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
US5250148A (en) | 1985-05-15 | 1993-10-05 | Research Development Corporation | Process for growing GaAs monocrystal film |
US4617087A (en) * | 1985-09-27 | 1986-10-14 | International Business Machines Corporation | Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits |
US4829022A (en) | 1985-12-09 | 1989-05-09 | Nippon Telegraph And Telephone Corporation | Method for forming thin films of compound semiconductors by flow rate modulation epitaxy |
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US4838983A (en) | 1986-07-03 | 1989-06-13 | Emcore, Inc. | Gas treatment apparatus and method |
US4767494A (en) | 1986-07-04 | 1988-08-30 | Nippon Telegraph & Telephone Corporation | Preparation process of compound semiconductor |
JPH0834180B2 (ja) | 1986-08-26 | 1996-03-29 | セイコー電子工業株式会社 | 化合物半導体薄膜の成長方法 |
JPH0810211B2 (ja) | 1986-09-05 | 1996-01-31 | 日本碍子株式会社 | ガスセンサ及びその製造法 |
JPH0639357B2 (ja) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | 元素半導体単結晶薄膜の成長方法 |
US5246536A (en) | 1986-09-08 | 1993-09-21 | Research Development Corporation Of Japan | Method for growing single crystal thin films of element semiconductor |
US5227335A (en) | 1986-11-10 | 1993-07-13 | At&T Bell Laboratories | Tungsten metallization |
JP2929291B2 (ja) | 1986-12-04 | 1999-08-03 | セイコーインスツルメンツ株式会社 | 絶縁ゲート電界効果トランジスタの製造方法 |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5882165A (en) * | 1986-12-19 | 1999-03-16 | Applied Materials, Inc. | Multiple chamber integrated process system |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5923985A (en) * | 1987-01-05 | 1999-07-13 | Seiko Instruments Inc. | MOS field effect transistor and its manufacturing method |
JP2555045B2 (ja) | 1987-01-19 | 1996-11-20 | 株式会社日立製作所 | 薄膜形成方法及びその装置 |
DE3704505A1 (de) | 1987-02-13 | 1988-08-25 | Leybold Ag | Einlegegeraet fuer vakuumanlagen |
JPH0812844B2 (ja) | 1987-03-27 | 1996-02-07 | 日本電気株式会社 | ▲iii▼−v族化合物半導体およびその形成方法 |
JPH0727861B2 (ja) | 1987-03-27 | 1995-03-29 | 富士通株式会社 | ▲iii▼−▲v▼族化合物半導体結晶の成長方法 |
DE3721637A1 (de) | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse |
US5348911A (en) | 1987-06-30 | 1994-09-20 | Aixtron Gmbh | Material-saving process for fabricating mixed crystals |
JPH0666274B2 (ja) | 1987-07-01 | 1994-08-24 | 日本電気株式会社 | ▲iii▼−v族化合物半導体の形成方法 |
DE3884682T2 (de) | 1987-07-01 | 1994-05-05 | Nippon Electric Co | Verfahren zur Züchtung eines Halbleiterkristalles aus III-V-Gruppen-Verbindung auf einem Si-Substrat. |
FI81926C (fi) | 1987-09-29 | 1990-12-10 | Nokia Oy Ab | Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater. |
DE3743938C2 (de) | 1987-12-23 | 1995-08-31 | Cs Halbleiter Solartech | Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht |
FR2626110A1 (fr) | 1988-01-19 | 1989-07-21 | Thomson Csf | Procede de realisation par epitaxie d'une couche d'un materiau supraconducteur |
US5166092A (en) | 1988-01-28 | 1992-11-24 | Fujitsu Limited | Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy |
US5130269A (en) | 1988-04-27 | 1992-07-14 | Fujitsu Limited | Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same |
DE3851701T2 (de) | 1988-06-03 | 1995-03-30 | Ibm | Verfahren zur Herstellung künstlicher Hochtemperatur-Supraleiter mit mehrschichtiger Struktur. |
US4927670A (en) | 1988-06-22 | 1990-05-22 | Georgia Tech Research Corporation | Chemical vapor deposition of mixed metal oxide coatings |
JPH0666287B2 (ja) | 1988-07-25 | 1994-08-24 | 富士通株式会社 | 半導体装置の製造方法 |
US5234561A (en) | 1988-08-25 | 1993-08-10 | Hauzer Industries Bv | Physical vapor deposition dual coating process |
US4931132A (en) | 1988-10-07 | 1990-06-05 | Bell Communications Research, Inc. | Optical control of deposition of crystal monolayers |
US5037775A (en) * | 1988-11-30 | 1991-08-06 | Mcnc | Method for selectively depositing single elemental semiconductor material on substrates |
US5013683A (en) | 1989-01-23 | 1991-05-07 | The Regents Of The University Of California | Method for growing tilted superlattices |
JPH0824191B2 (ja) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | 薄膜トランジスタ |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
AU5977190A (en) | 1989-07-27 | 1991-01-31 | Nishizawa, Junichi | Impurity doping method with adsorbed diffusion source |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
JP2926798B2 (ja) | 1989-11-20 | 1999-07-28 | 国際電気株式会社 | 連続処理エッチング方法及びその装置 |
CA2031253A1 (en) | 1989-12-01 | 1991-06-02 | Kenji Aoki | Method of producing bipolar transistor |
EP1069610A2 (en) * | 1990-01-08 | 2001-01-17 | Lsi Logic Corporation | Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus |
US5290748A (en) | 1990-01-16 | 1994-03-01 | Neste Oy | Polymerization catalyst for olefines |
US5338389A (en) | 1990-01-19 | 1994-08-16 | Research Development Corporation Of Japan | Method of epitaxially growing compound crystal and doping method therein |
JPH07105497B2 (ja) | 1990-01-31 | 1995-11-13 | 新技術事業団 | 半導体デバイス及びその製造方法 |
JP2822536B2 (ja) | 1990-02-14 | 1998-11-11 | 住友電気工業株式会社 | 立方晶窒化ホウ素薄膜の形成方法 |
US5316615A (en) | 1990-03-23 | 1994-05-31 | International Business Machines Corporation | Surfactant-enhanced epitaxy |
US5173474A (en) | 1990-04-18 | 1992-12-22 | Xerox Corporation | Silicon substrate having an epitaxial superconducting layer thereon and method of making same |
JPH042699A (ja) | 1990-04-18 | 1992-01-07 | Mitsubishi Electric Corp | 結晶成長方法 |
US5091320A (en) | 1990-06-15 | 1992-02-25 | Bell Communications Research, Inc. | Ellipsometric control of material growth |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5483919A (en) | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
DE4027628A1 (de) | 1990-08-31 | 1992-03-05 | Wolters Peter Fa | Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen |
US5085885A (en) | 1990-09-10 | 1992-02-04 | University Of Delaware | Plasma-induced, in-situ generation, transport and use or collection of reactive precursors |
US5286296A (en) | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
US5705224A (en) | 1991-03-20 | 1998-01-06 | Kokusai Electric Co., Ltd. | Vapor depositing method |
US5316793A (en) | 1992-07-27 | 1994-05-31 | Texas Instruments Incorporated | Directed effusive beam atomic layer epitaxy system and method |
US5270247A (en) | 1991-07-12 | 1993-12-14 | Fujitsu Limited | Atomic layer epitaxy of compound semiconductor |
US6001669A (en) | 1991-09-09 | 1999-12-14 | Philips Electronics North America Corporation | Method for producing II-VI compound semiconductor epitaxial layers having low defects |
US5311055A (en) | 1991-11-22 | 1994-05-10 | The United States Of America As Represented By The Secretary Of The Navy | Trenched bipolar transistor structures |
JP2987379B2 (ja) | 1991-11-30 | 1999-12-06 | 科学技術振興事業団 | 半導体結晶のエピタキシャル成長方法 |
US5336324A (en) | 1991-12-04 | 1994-08-09 | Emcore Corporation | Apparatus for depositing a coating on a substrate |
US5397428A (en) | 1991-12-20 | 1995-03-14 | The University Of North Carolina At Chapel Hill | Nucleation enhancement for chemical vapor deposition of diamond |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5256244A (en) | 1992-02-10 | 1993-10-26 | General Electric Company | Production of diffuse reflective coatings by atomic layer epitaxy |
US5458084A (en) | 1992-04-16 | 1995-10-17 | Moxtek, Inc. | X-ray wave diffraction optics constructed by atomic layer epitaxy |
US5486919A (en) * | 1992-04-27 | 1996-01-23 | Canon Kabushiki Kaisha | Inspection method and apparatus for inspecting a particle, if any, on a substrate having a pattern |
CA2067565C (en) | 1992-04-29 | 1999-02-16 | Ismail T. Emesh | Deposition of tungsten |
DE69328929T2 (de) | 1992-05-22 | 2000-11-02 | Minnesota Mining & Mfg | Ii-vi laserdioden mit durch atomlagen- und migrationsverstaerkte epitaxie aufgewachsenen quantum wells |
US5278435A (en) | 1992-06-08 | 1994-01-11 | Apa Optics, Inc. | High responsivity ultraviolet gallium nitride detector |
FI91422C (fi) | 1992-06-18 | 1994-06-27 | Mikrokemia Oy | Menetelmä ja laitteisto nestemäisten reagenssien syöttämiseksi kemialliseen reaktoriin |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
JPH0750690B2 (ja) | 1992-08-21 | 1995-05-31 | 日本電気株式会社 | ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置 |
JP3405466B2 (ja) | 1992-09-17 | 2003-05-12 | 富士通株式会社 | 流体切替弁および半導体装置の製造装置 |
US5532511A (en) | 1992-10-23 | 1996-07-02 | Research Development Corp. Of Japan | Semiconductor device comprising a highspeed static induction transistor |
US5455072A (en) | 1992-11-18 | 1995-10-03 | Bension; Rouvain M. | Initiation and bonding of diamond and other thin films |
JPH06177349A (ja) * | 1992-12-02 | 1994-06-24 | Matsushita Electric Ind Co Ltd | 高密度dramの製造方法および高密度dram |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3265042B2 (ja) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | 成膜方法 |
JP3124861B2 (ja) | 1993-03-24 | 2001-01-15 | 富士通株式会社 | 薄膜成長方法および半導体装置の製造方法 |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5330610A (en) | 1993-05-28 | 1994-07-19 | Martin Marietta Energy Systems, Inc. | Method of digital epilaxy by externally controlled closed-loop feedback |
JPH0729897A (ja) | 1993-06-25 | 1995-01-31 | Nec Corp | 半導体装置の製造方法 |
US6130147A (en) | 1994-04-07 | 2000-10-10 | Sdl, Inc. | Methods for forming group III-V arsenide-nitride semiconductor materials |
JP3181171B2 (ja) | 1994-05-20 | 2001-07-03 | シャープ株式会社 | 気相成長装置および気相成長方法 |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
JP3008782B2 (ja) | 1994-07-15 | 2000-02-14 | 信越半導体株式会社 | 気相成長方法およびその装置 |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
TW295677B (ja) | 1994-08-19 | 1997-01-11 | Tokyo Electron Co Ltd | |
US5641984A (en) | 1994-08-19 | 1997-06-24 | General Electric Company | Hermetically sealed radiation imager |
US5730801A (en) | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
US5644128A (en) | 1994-08-25 | 1997-07-01 | Ionwerks | Fast timing position sensitive detector |
DE69518710T2 (de) | 1994-09-27 | 2001-05-23 | Applied Materials Inc | Verfahren zum Behandeln eines Substrats in einer Vakuumbehandlungskammer |
US6158446A (en) | 1994-11-14 | 2000-12-12 | Fsi International | Ultra-low particle semiconductor cleaner |
JPH08148431A (ja) | 1994-11-24 | 1996-06-07 | Mitsubishi Electric Corp | Mbe装置、及びガス分岐配管装置 |
FI100409B (fi) * | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
FI97730C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
US5730901A (en) * | 1995-05-11 | 1998-03-24 | Shin-Etsu Chemical Co., Ltd. | Silacyclohexane compounds, preparation thereof liquid crystal compositions comprising the same, and liquid crystal devices comprising the composition |
JP3288200B2 (ja) | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | 真空処理装置 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
KR0167248B1 (ko) | 1995-07-24 | 1999-02-01 | 문정환 | 반도체 기판의 전처리방법 |
KR100310249B1 (ko) | 1995-08-05 | 2001-12-17 | 엔도 마코토 | 기판처리장치 |
US5804488A (en) | 1995-08-24 | 1998-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a tungsten silicide capacitor having a high breakdown voltage |
US5672054A (en) | 1995-12-07 | 1997-09-30 | Carrier Corporation | Rotary compressor with reduced lubrication sensitivity |
US6084302A (en) | 1995-12-26 | 2000-07-04 | Micron Technologies, Inc. | Barrier layer cladding around copper interconnect lines |
US5747099A (en) | 1996-01-05 | 1998-05-05 | Midwest Research Institute | Two chamber reaction furnace |
FI107533B (fi) | 1996-04-03 | 2001-08-31 | Fortum Oil & Gas Oy | Kemiallisten reaktioiden suorittamiseen tarkoitetut funktionaaliset pinnat ja menetelmä niiden valmistamiseksi |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5788799A (en) | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US6062798A (en) * | 1996-06-13 | 2000-05-16 | Brooks Automation, Inc. | Multi-level substrate processing apparatus |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5747113A (en) * | 1996-07-29 | 1998-05-05 | Tsai; Charles Su-Chang | Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation |
US5830270A (en) | 1996-08-05 | 1998-11-03 | Lockheed Martin Energy Systems, Inc. | CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JP2923753B2 (ja) * | 1996-08-21 | 1999-07-26 | 工業技術院長 | Iii族原子層の形成方法 |
KR100216542B1 (ko) | 1996-08-27 | 1999-08-16 | 정선종 | 펄스 레이저 증착장치용 멀티 타겟 구동장치 |
FI100758B (fi) | 1996-09-11 | 1998-02-13 | Planar Internat Oy Ltd | Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten |
US5835677A (en) | 1996-10-03 | 1998-11-10 | Emcore Corporation | Liquid vaporizer system and method |
US5923056A (en) * | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
US5928389A (en) | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6335280B1 (en) | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
US6043177A (en) * | 1997-01-21 | 2000-03-28 | University Technology Corporation | Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition |
US6051286A (en) * | 1997-02-12 | 2000-04-18 | Applied Materials, Inc. | High temperature, high deposition rate process and apparatus for depositing titanium layers |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5855675A (en) * | 1997-03-03 | 1999-01-05 | Genus, Inc. | Multipurpose processing chamber for chemical vapor deposition processes |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
JPH10308283A (ja) * | 1997-03-04 | 1998-11-17 | Denso Corp | El素子およびその製造方法 |
US5866795A (en) * | 1997-03-17 | 1999-02-02 | Applied Materials, Inc. | Liquid flow rate estimation and verification by direct liquid measurement |
US6026762A (en) | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
JPH10306377A (ja) | 1997-05-02 | 1998-11-17 | Tokyo Electron Ltd | 微量ガス供給方法及びその装置 |
TW417249B (en) | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6156382A (en) * | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US5851849A (en) | 1997-05-22 | 1998-12-22 | Lucent Technologies Inc. | Process for passivating semiconductor laser structures with severe steps in surface topography |
US6140237A (en) | 1997-06-16 | 2000-10-31 | Chartered Semiconductor Manufacturing Ltd. | Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
US5882413A (en) * | 1997-07-11 | 1999-03-16 | Brooks Automation, Inc. | Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer |
US5904565A (en) * | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100385946B1 (ko) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
KR100269306B1 (ko) | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US5904569A (en) | 1997-09-03 | 1999-05-18 | National Semiconductor Corporation | Method for forming self-aligned vias in multi-metal integrated circuits |
US5801634A (en) | 1997-09-08 | 1998-09-01 | Sony Corporation | Signal tower controller |
US5994775A (en) | 1997-09-17 | 1999-11-30 | Lsi Logic Corporation | Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US6110556A (en) | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
JPH11195621A (ja) | 1997-11-05 | 1999-07-21 | Tokyo Electron Ltd | バリアメタル、その形成方法、ゲート電極及びその形成方法 |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
KR100252049B1 (ko) | 1997-11-18 | 2000-04-15 | 윤종용 | 원자층 증착법에 의한 알루미늄층의 제조방법 |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
US6099904A (en) * | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
US6271129B1 (en) | 1997-12-03 | 2001-08-07 | Applied Materials, Inc. | Method for forming a gap filling refractory metal layer having reduced stress |
KR100269328B1 (ko) * | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
US6117244A (en) | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US6316098B1 (en) | 1998-03-27 | 2001-11-13 | Yissum Research Development Company Of The Hebrew University Of Jerusalem | Molecular layer epitaxy method and compositions |
US6025627A (en) * | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
FI105313B (fi) * | 1998-06-03 | 2000-07-14 | Planar Systems Oy | Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi |
KR100319888B1 (ko) | 1998-06-16 | 2002-01-10 | 윤종용 | 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법 |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100278657B1 (ko) | 1998-06-24 | 2001-02-01 | 윤종용 | 반도체장치의금속배선구조및그제조방법 |
JP2000031387A (ja) | 1998-07-14 | 2000-01-28 | Fuji Electric Co Ltd | 誘電体薄膜コンデンサの製造方法 |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
KR20000013654A (ko) | 1998-08-12 | 2000-03-06 | 윤종용 | 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법 |
KR100327105B1 (ko) | 1998-08-14 | 2002-03-09 | 오길록 | 고휘도 형광체 및 그 제조방법 |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
FI105643B (fi) | 1998-08-21 | 2000-09-15 | Planar Systems Oy | Ohutkalvo-elektroluminesenssilaite ja menetelmä sen valmistamiseksi |
KR100287180B1 (ko) * | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
JP3580159B2 (ja) | 1998-12-18 | 2004-10-20 | 東京エレクトロン株式会社 | タングステン膜の成膜方法 |
US6294836B1 (en) | 1998-12-22 | 2001-09-25 | Cvc Products Inc. | Semiconductor chip interconnect barrier material and fabrication method |
JP2995300B1 (ja) | 1999-02-03 | 1999-12-27 | 工業技術院長 | 機械要素部品の表面改善方法 |
US6374831B1 (en) | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
US6305314B1 (en) * | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6540838B2 (en) | 2000-11-29 | 2003-04-01 | Genus, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
JP2000319773A (ja) | 1999-04-30 | 2000-11-21 | Shindengen Electric Mfg Co Ltd | X線検出器におけるセレン層の製造方法 |
KR100347379B1 (ko) | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
US6218298B1 (en) | 1999-05-19 | 2001-04-17 | Infineon Technologies North America Corp. | Tungsten-filled deep trenches |
JP2000340883A (ja) | 1999-05-27 | 2000-12-08 | Fujitsu Ltd | 多波長発振光半導体装置 |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
JP2000353666A (ja) | 1999-06-11 | 2000-12-19 | Matsushita Electric Ind Co Ltd | 半導体薄膜およびその製造方法 |
US6071808A (en) * | 1999-06-23 | 2000-06-06 | Lucent Technologies Inc. | Method of passivating copper interconnects in a semiconductor |
US6524952B1 (en) | 1999-06-25 | 2003-02-25 | Applied Materials, Inc. | Method of forming a titanium silicide layer on a substrate |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
FI110311B (fi) | 1999-07-20 | 2002-12-31 | Asm Microchemistry Oy | Menetelmä ja laitteisto aineiden poistamiseksi kaasuista |
KR20010017820A (ko) | 1999-08-14 | 2001-03-05 | 윤종용 | 반도체 소자 및 그 제조방법 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6326297B1 (en) | 1999-09-30 | 2001-12-04 | Novellus Systems, Inc. | Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer |
TW515032B (en) | 1999-10-06 | 2002-12-21 | Samsung Electronics Co Ltd | Method of forming thin film using atomic layer deposition method |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
US6902763B1 (en) * | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
TW468212B (en) | 1999-10-25 | 2001-12-11 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6534404B1 (en) | 1999-11-24 | 2003-03-18 | Novellus Systems, Inc. | Method of depositing diffusion barrier for copper interconnect in integrated circuit |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
JP4817210B2 (ja) | 2000-01-06 | 2011-11-16 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
JP4362919B2 (ja) | 2000-02-04 | 2009-11-11 | 株式会社デンソー | 原子層エピタキシャル成長法による成膜方法 |
JP4776054B2 (ja) | 2000-02-04 | 2011-09-21 | 株式会社デンソー | 原子層成長による薄膜形成方法 |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6492283B2 (en) | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
JP4211185B2 (ja) | 2000-02-29 | 2009-01-21 | 株式会社デンソー | Cvd,ale装置用ガラス基板収納治具 |
US6627995B2 (en) | 2000-03-03 | 2003-09-30 | Cvc Products, Inc. | Microelectronic interconnect material with adhesion promotion layer and fabrication method |
EP1266054B1 (en) | 2000-03-07 | 2006-12-20 | Asm International N.V. | Graded thin films |
US6274484B1 (en) | 2000-03-17 | 2001-08-14 | Taiwan Semiconductor Manufacturing Company | Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers |
JP4556282B2 (ja) | 2000-03-31 | 2010-10-06 | 株式会社デンソー | 有機el素子およびその製造方法 |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6482740B2 (en) * | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
JP2001328900A (ja) | 2000-05-15 | 2001-11-27 | Denso Corp | 薄膜の形成方法 |
KR100403611B1 (ko) | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | 금속-절연체-금속 구조의 커패시터 및 그 제조방법 |
JP3687651B2 (ja) | 2000-06-08 | 2005-08-24 | ジニテック インク. | 薄膜形成方法 |
US7253076B1 (en) * | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
KR100387255B1 (ko) * | 2000-06-20 | 2003-06-11 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 |
KR100332313B1 (ko) | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6818250B2 (en) | 2000-06-29 | 2004-11-16 | The Regents Of The University Of Colorado | Method for forming SIO2 by chemical vapor deposition at room temperature |
KR100444149B1 (ko) | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6368954B1 (en) * | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
KR100630666B1 (ko) * | 2000-08-09 | 2006-10-02 | 삼성전자주식회사 | 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법 |
US6903005B1 (en) | 2000-08-30 | 2005-06-07 | Micron Technology, Inc. | Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics |
US6355561B1 (en) | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
WO2002045167A2 (en) | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US20020197402A1 (en) | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100386034B1 (ko) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020073924A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076481A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR20020056260A (ko) | 2000-12-29 | 2002-07-10 | 박종섭 | 반도체 소자의 금속 게이트 형성방법 |
US20020086111A1 (en) | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100400033B1 (ko) | 2001-02-08 | 2003-09-29 | 삼성전자주식회사 | 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법 |
KR100395766B1 (ko) | 2001-02-12 | 2003-08-25 | 삼성전자주식회사 | 강유전체 기억 소자 및 그 형성 방법 |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
FI109770B (fi) | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
US7348042B2 (en) | 2001-03-19 | 2008-03-25 | Novellus Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6369430B1 (en) * | 2001-04-02 | 2002-04-09 | Motorola, Inc. | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6420189B1 (en) | 2001-04-27 | 2002-07-16 | Advanced Micro Devices, Inc. | Superconducting damascene interconnected for integrated circuit |
US6447933B1 (en) | 2001-04-30 | 2002-09-10 | Advanced Micro Devices, Inc. | Formation of alloy material using alternating depositions of alloy doping element and bulk material |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US6635965B1 (en) * | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6849545B2 (en) | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
JP2005518088A (ja) | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | タングステン複合膜の形成 |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030035560A1 (en) * | 2001-08-17 | 2003-02-20 | New Transducers Limited | Acoustic device |
US6548906B2 (en) | 2001-08-22 | 2003-04-15 | Agere Systems Inc. | Method for reducing a metal seam in an interconnect structure and a device manufactured thereby |
US6806145B2 (en) | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US6718126B2 (en) | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
JP4938962B2 (ja) | 2001-09-14 | 2012-05-23 | エーエスエム インターナショナル エヌ.ヴェー. | ゲッタリング反応物を用いるaldによる金属窒化物堆積 |
US20030049931A1 (en) | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
KR20030025494A (ko) | 2001-09-21 | 2003-03-29 | 삼성전자주식회사 | 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6423619B1 (en) | 2001-11-30 | 2002-07-23 | Motorola, Inc. | Transistor metal gate structure that minimizes non-planarity effects and method of formation |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US20030157760A1 (en) | 2002-02-20 | 2003-08-21 | Applied Materials, Inc. | Deposition of tungsten films for dynamic random access memory (DRAM) applications |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6846516B2 (en) | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US20030203616A1 (en) | 2002-04-24 | 2003-10-30 | Applied Materials, Inc. | Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
KR100476926B1 (ko) | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | 반도체 소자의 듀얼 게이트 형성방법 |
US6838125B2 (en) | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US6955211B2 (en) | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US6772072B2 (en) | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US7300038B2 (en) | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US6915592B2 (en) | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
JP4188033B2 (ja) | 2002-08-30 | 2008-11-26 | 本田技研工業株式会社 | 油圧緩衝機の取付構造 |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7211508B2 (en) | 2003-06-18 | 2007-05-01 | Applied Materials, Inc. | Atomic layer deposition of tantalum based barrier materials |
US7408225B2 (en) | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
KR100871006B1 (ko) | 2004-07-30 | 2008-11-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화 |
EP1824960A2 (en) | 2004-11-22 | 2007-08-29 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
US20070020890A1 (en) | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
WO2007142690A2 (en) | 2005-11-04 | 2007-12-13 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
-
2002
- 2002-07-12 US US10/194,629 patent/US7211144B2/en not_active Expired - Lifetime
-
2003
- 2003-07-14 JP JP2004521971A patent/JP2005533181A/ja active Pending
- 2003-07-14 WO PCT/US2003/022477 patent/WO2004007794A2/en active Application Filing
-
2007
- 2007-01-08 US US11/621,040 patent/US7695563B2/en not_active Expired - Fee Related
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20180120089A (ko) | 2017-04-26 | 2018-11-05 | 도쿄엘렉트론가부시키가이샤 | 텅스텐막을 형성하는 방법 |
US10612139B2 (en) | 2017-04-26 | 2020-04-07 | Tokyo Electron Limited | Method of forming a tungsten film having a low resistance |
Also Published As
Publication number | Publication date |
---|---|
US20080317954A1 (en) | 2008-12-25 |
US7211144B2 (en) | 2007-05-01 |
WO2004007794A2 (en) | 2004-01-22 |
US7695563B2 (en) | 2010-04-13 |
WO2004007794A3 (en) | 2004-04-29 |
US20030127043A1 (en) | 2003-07-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2005533181A (ja) | タングステン層のパルス核生成堆積 | |
US10580645B2 (en) | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors | |
TWI394858B (zh) | 用於沉積具有降低電阻率及改良表面形態之鎢膜的方法 | |
US7484513B2 (en) | Method of forming titanium film by CVD | |
JP4032872B2 (ja) | タングステン膜の形成方法 | |
US20060264038A1 (en) | Method for forming barrier film and method for forming electrode film | |
US20120164848A1 (en) | Method for forming nitride film | |
US20090071404A1 (en) | Method of forming titanium film by CVD | |
US9595466B2 (en) | Methods for etching via atomic layer deposition (ALD) cycles | |
TW201900919A (zh) | 矽氮化膜之成膜方法及成膜裝置 | |
US11984319B2 (en) | Substrate processing method and film forming system | |
TWI796388B (zh) | 減少或消除鎢膜中缺陷的方法 | |
JP2020506533A (ja) | 核形成のない間隙充填aldプロセス | |
CN112740397A (zh) | 含铝膜的间隙-填充 | |
JP2010016136A (ja) | 薄膜の成膜方法及び成膜装置 | |
JP4151308B2 (ja) | 処理装置のガス導入方法 | |
CN109868459B (zh) | 一种半导体设备 | |
JPH10321556A (ja) | 成膜方法 | |
KR20200040916A (ko) | 실리사이드화에 의한 금속-함유 막들의 부피 팽창 | |
KR20230079221A (ko) | 기판 처리 방법 및 기판 처리 장치 | |
WO2022159883A1 (en) | Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma | |
US20200149164A1 (en) | Thermal metal chemical vapor deposition apparatus and process | |
US20230340661A1 (en) | Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma | |
US20220375747A1 (en) | Flowable CVD Film Defect Reduction | |
US10662522B1 (en) | Thermal metal chemical vapor deposition process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20060710 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090707 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090902 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20090902 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20091201 |