TW515032B - Method of forming thin film using atomic layer deposition method - Google Patents

Method of forming thin film using atomic layer deposition method Download PDF

Info

Publication number
TW515032B
TW515032B TW089120770A TW89120770A TW515032B TW 515032 B TW515032 B TW 515032B TW 089120770 A TW089120770 A TW 089120770A TW 89120770 A TW89120770 A TW 89120770A TW 515032 B TW515032 B TW 515032B
Authority
TW
Taiwan
Prior art keywords
reactant
film
thin film
reaction chamber
substrate
Prior art date
Application number
TW089120770A
Other languages
Chinese (zh)
Inventor
Yeong-Kwan Kim
Yeong-Uk Park
Jae-Sun Lim
Seong-Je Choi
Jae-Sun Li
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020000053415A external-priority patent/KR100343144B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW515032B publication Critical patent/TW515032B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

In a method of forming a thin film using an atomic layer deposition (ALD) method, a thin film is formed on a substrate in a cycle of injecting a first reactant including an atom that forms the thin film and a ligand into a reaction chamber that includes the substrate, purging the first reactant, injecting a second reactant into the reaction chamber and purging the second reactant. The thin film is formed by a chemical reaction between the atom that forms the thin film and a second reactant whose binding energy with respect to the atom that forms the thin film is larger than the binding energy of the ligand with respect to the atom that forms the thin film and the generation of by-products is prevented. The generation of a hydroxide by-product in the thin film is suppressed by using a material that does not include a hydroxide as the second reactant, purging the second reactant, and reacting the second reactant with a third reactant that includes hydroxide. After purging the second reactant, the third reactant for removing impurities and improving the stoichiometry of the thin film is injected and purged. By doing so, it is possible to obtain a thin film, which does not include impurities and whose stoichiometry is excellent.

Description

A7 五、發明說明(1 ) 經濟部智慧財產局員工消費合作社印制衣 背景 1·發明範圍 本發明係關於形成薄膜之方法,且更特定言之,係關於 使用原子層沉積(ALD)法形成薄膜之方法。·· 2.相關技藝之描述 通¥係使用薄膜作爲半導體元件之電介質,液晶顯示器 足透明導體,及電致發光薄膜顯示器之保護層。薄膜可藉 由落膠-凝膠法、歸法、電鍍法、蒸發法、化學蒸氣沉積 (CVD)法或ALD法形成。 ' 在此等方法中,能夠藉由ALD法比藉由CVD法獲得更良 好之階層覆盖率,且能夠藉由ALD法進行低溫處理。在 ALD法中,薄膜係經由反應物分解而形成,其並非藉由熱 解,而是經過個別反應物之週期性供應,藉由化學交換進 行。此處,利用習用ALD法,形成可作爲半導體元件之介 電薄膜使用之氧化鋁薄膜之方法,將詳細描述。 圖1爲使用習用ALD法形成氧化鋁薄膜製程之流程圖。圖 2A至2D係描述藉由圖i方法形成氧化鋁薄膜期間之反應機 制。 詳ΊΓ之,係將第一種反應物A,意即由鋁七與甲基配位體 a:所組成之三甲基鋁(Ai(CH3)3, ”TMA”),注入反應室(未示出) 中’於其中裝載矽基板(步驟1)。藉由注入惰性氣體,於反 應室中洗除物理吸附之第一種反應物A (步驟3)。因此,只 有被化學吸附於基板S上之第一種反應物a,仍然保持結合 至基板S,如圖2A中所示。* -4- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) (請先閱讀背面之注意事項3寫本頁) :裝 • I n ri -Μ MB 龜 MM I 雇A7 V. Description of the invention (1) Printed garments by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economics Background 1. Scope of the invention The present invention relates to a method for forming a thin film, and more specifically, to the formation using an atomic layer deposition (ALD) method Thin film method. ·· 2. Description of related technologies: The thin film is used as the dielectric of the semiconductor element, the transparent conductor of the liquid crystal display, and the protective layer of the electroluminescent thin film display. The thin film can be formed by a gel-drop method, a reduction method, a plating method, an evaporation method, a chemical vapor deposition (CVD) method, or an ALD method. '' In these methods, better layer coverage can be obtained by the ALD method than by the CVD method, and low-temperature processing can be performed by the ALD method. In the ALD method, a thin film is formed by decomposition of reactants, which is not performed by pyrolysis, but by periodic supply of individual reactants, and performed by chemical exchange. Here, a method of forming an aluminum oxide film that can be used as a dielectric film of a semiconductor element by a conventional ALD method will be described in detail. FIG. 1 is a flowchart of a process for forming an alumina film using a conventional ALD method. 2A to 2D illustrate the reaction mechanism during the formation of an aluminum oxide film by the method in FIG. In detail, the first reactant A, that is, trimethylaluminum (Ai (CH3) 3, "TMA") composed of aluminum seven and methyl ligand a :, is injected into the reaction chamber (not (Shown) in which a silicon substrate is loaded (step 1). By injecting an inert gas, the first reactant A that is physically adsorbed is washed out in the reaction chamber (step 3). Therefore, only the first reactant a chemically adsorbed on the substrate S remains bound to the substrate S, as shown in FIG. 2A. * -4- This paper size is in accordance with Chinese National Standard (CNS) A4 specification (21 × 297 mm) (please read the note on the back first and write this page): Packing • I n ri -Μ MB turtle MM I hire

A7 B7 五、發明說明(2 ) 將第二種反應物B,由氧h與氫基b2所組成之水蒸汽,注 入含有基板S之反應室中,第一種反應物a係被化學吸附於 孩基板上(步驟5)。經由如此進行,第二種反應物b係被化 學吸附於第一種反應物A中,如圖2B中所示ά 經化學吸附之第二種反應物Β之氫基b2,會移動至第一種 反應物A之甲基配位體%,且此甲基配位體會與第一種反 應物A分離’如圖2C中所示。如化學反應式1與圖2D中所 不’第二種反應物B之氫基b2,係與已被分離之第一種反 應物A之甲基配位體%反應,並生成由Ch4所形成之揮發性 氣相物質D。氧化鋁薄膜C係經由第一種反應物a之鋁七與 第二種反應物B之氫七丨間之反應,於基板上形成。 2 A1(CH3 )3 + 3 H2 0 Al2 〇3 + 6 CH4 …⑴ 由CH4形成之揮發性氣相物質D與未反應之蒸氣,係藉由 注入惰性氣體,沖洗該反應室,而移除由CH4形成之揮發 性氣相物質D與該蒸氣(步驟7)。檢查氧化铭薄膜是否已被 形成至適當厚度(步驟9),而若必要則循環地重複步驟1至 在習用ALD法中,由於甲基配位體a2係藉由氫基b2之移動 而被移除,故發生次反應而產生0H基,其仍然根據氫基b2 之移動,如化學反應式2中所述。 A1(CH3 )3 + 3 H2 0 一 A1(0H)3 + 3 CH4 ...(2) 當發生次反應時,不想要之雜質,譬如A1(〇H)3,係被包 含在氧化鋁薄膜C中。當包含雜質譬如A1(0H)3時,不可能 獲得所要之薄膜特性。特定言之,當使用包含A1(〇H)3之氧 -5 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------------裝--- (請先閱讀背面之注意事項寫本頁) 訂: 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(3 ) 經濟部智慧財產局員工消費合作社印製 化鋁薄作馬半導體元件之介電薄膜時,該包含ai(oh)3之 氧化鋁薄月旲會充作電子之捕集阱位置或電流滲漏位置。因 此,使介電薄膜特性之品質降低。 發明摘述 本發明> —TZ; " 一頁目的,係爲提供一種當使用原子層沉積 (ALD)法時’藉由抑制不想要雜質之形成,形成高純度薄膜 之方法。 爲達成上述目的,在使用原子層沉積(ALD)法形成薄膜之 方法中,根據本發明之一個具體實施例,係將包含用以形 成1茨薄膜之原子與配位體之第一種反應物,注入包含基板 足反應1:中,因此使第一種反應物被化學吸附於基板上。 經由以惰性氣體沖洗反應室,移除僅被物理吸附於基板上 之任何第種反應物。以原子層爲單位之薄膜,係藉由用 以形成孩薄膜之原子與第二種反應物間之化學反應而形成 ’該第二種反應物對於形成該薄膜之原子之結合能,係大 於孩配位體對於形成該薄膜之原子之結合能,其方式是在 反應室中注入第二種反應物,及移除該配位體,而不會產 生副產物。 根據本發明,第一種反應物Α之配位體係藉由結合能上 之差異而被分離,無需移動來自第二種反應物B之基團至 第一種反應物A。揮發性氣相物質係經由配位體之結合而 形成,並將此氣相物質洗除。因此,由於能夠減少薄膜中 因爲次反應所產生之雜質,而無需移動基團,故能夠獲得 高純度薄膜。 -6 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項β寫本頁) —裝 訂: -·線」 515032 A7 B7 五、發明說明(4 ) 在使用ALD法形成薄膜之方法中,根據本發明之另一個 具體貫施例’係經由使第一種反應物化學吸附至基板上, 及以惰性氣體沖洗反應室,以移除僅被物理吸附之任何第 一種反應物。經化學吸附之第一種反應物係·以化學方式交 換,以形成金屬-氧原子層薄膜,其方式是於反應室中注入 未含有氫氧根之第二種反應物。經由以惰性氣體沖洗反應 室,移除經物理吸附之第二種反應物。以原子層爲單位之 金屬氧化物薄膜,係在防止氫氧化物產生時形成,其方式 是於反應室中注入第三種反應物,因此,以化學方式交換 其餘經化學吸附之第一種反應物,以進一步幫助金屬-氧原 子層形成。在注入第三種反應物至反應室中之後,可將用 以移除雜質及改良金屬氧化物薄膜之化學計量之第四種反 應物’譬如臭氧氣體,注入反應室中,並可將反應室以惰 性氣體沖洗。 較佳情況是,第一種反應物爲金屬反應物,未含有氫氧 根之第二種反應物爲n2〇、〇2、〇3或c〇2,及第三種反應物 爲氧化用氣體。從注入第一種反應物之步驟至注入第三種 反應物之步驟’反應室之溫度較佳係保持在與400°c之 間。^基板爲碎基板時,基板表面之懸垂鍵結,可在注入 第一種反應物之前,藉由注入氧化用氣體而被封端。 在使用ALD法形成薄膜之方法中,根據另一個具體實施 例,僅被物理吸附於基板上之任何第一種反應物,係經由 使第一種反應物化學吸附於基板上,及以惰性氣體沖洗反 應室’而被移除。以原子層爲單位之薄膜係以下述方式形 -7- 本紙張尺度適用中國國豕標準(CNS)A4規格(21〇 X 297公爱) ;---‘----------裝—— (請先閱讀背面之注意事項HI寫本頁) 經濟部智慧財產局員工消費合作社印制衣 515032 五、發明說明(5A7 B7 V. Description of the invention (2) The second reactant B, water vapor consisting of oxygen h and hydrogen group b2, is injected into the reaction chamber containing the substrate S, and the first reactant a is chemically adsorbed on On the substrate (step 5). By doing so, the second reactant b is chemically adsorbed in the first reactant A, as shown in FIG. 2B. The hydrogen group b2 of the second reactant B chemically adsorbed will move to the first % Of the methyl ligand of one reactant A, and this methyl ligand will be separated from the first reactant A 'as shown in FIG. 2C. For example, the chemical reaction formula 1 and the hydrogen group b2 of the second reactant B shown in FIG. 2D are reacted with the methyl ligand% of the first reactant A that has been separated, and formed by Ch4 The volatile gaseous substance D. The aluminum oxide film C is formed on the substrate through a reaction between aluminum VII of the first reactant a and hydrogen VII of the second reactant B. 2 A1 (CH3) 3 + 3 H2 0 Al2 〇3 + 6 CH4… ⑴ The volatile gaseous substance D and unreacted vapor formed by CH4 are flushed by injecting an inert gas, and the reaction chamber is removed. The volatile gaseous substance D formed by CH4 and the vapor (step 7). Check whether the oxide film has been formed to an appropriate thickness (step 9), and if necessary, repeat step 1 cyclically. In the conventional ALD method, the methyl ligand a2 is moved by the movement of the hydrogen group b2 In addition, a secondary reaction occurs to generate the 0H group, which is still based on the movement of the hydrogen group b2, as described in Chemical Reaction Formula 2. A1 (CH3) 3 + 3 H2 0-A1 (0H) 3 + 3 CH4 ... (2) Unwanted impurities, such as A1 (〇H) 3, are included in the alumina film when secondary reactions occur C. When impurities such as A1 (0H) 3 are contained, it is impossible to obtain the desired film characteristics. In particular, when using oxygen-5 containing A1 (〇H) 3-this paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) ------------- --- Install --- (Please read the notes on the back to write this page first) Order: Printed by the Consumer Property Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 V. Invention Description (3) Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs When aluminum thin is used as a dielectric film of a semiconductor device, the aluminum oxide thin film containing ai (oh) 3 will act as a trap position for electrons or a current leakage position. Therefore, the quality of the characteristics of the dielectric thin film is reduced. SUMMARY OF THE INVENTION The purpose of the present invention is to provide a method for forming a high-purity thin film by suppressing the formation of unwanted impurities when an atomic layer deposition (ALD) method is used. To achieve the above object, in a method for forming a thin film by using an atomic layer deposition (ALD) method, according to a specific embodiment of the present invention, a first reactant including atoms and ligands for forming a 1 μm thin film is used. The injection includes a substrate foot reaction 1: medium, so that the first reactant is chemically adsorbed on the substrate. By flushing the reaction chamber with an inert gas, any first reactant that is only physically adsorbed on the substrate is removed. A thin film in atomic layers is formed by the chemical reaction between the atoms used to form the thin film and the second reactant, and the binding energy of the second reactant to the atoms forming the thin film is greater than that of the thin film. The binding energy of the ligands to the atoms forming the film is by injecting a second reactant into the reaction chamber and removing the ligands without generating by-products. According to the present invention, the coordination system of the first reactant A is separated by the difference in binding energy, and there is no need to move the group from the second reactant B to the first reactant A. A volatile gaseous substance is formed by the binding of a ligand, and the gaseous substance is washed away. Therefore, it is possible to reduce impurities in the thin film due to secondary reactions without moving the group, so that a high-purity thin film can be obtained. -6-This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) (Please read the notes on the back β to write this page) — Binding:-· Thread "515032 A7 B7 V. Description of the invention ( 4) In the method for forming a thin film using the ALD method, according to another embodiment of the present invention, the first reactant is chemically adsorbed onto the substrate, and the reaction chamber is flushed with an inert gas to remove only the Any first reactant physically adsorbed. The first reactant system after chemical adsorption is chemically exchanged to form a metal-oxygen layer film by injecting a second reactant that does not contain hydroxide into the reaction chamber. By flushing the reaction chamber with an inert gas, the physically adsorbed second reactant is removed. The metal oxide film in atomic layers is formed when the hydroxide is prevented. The method is to inject a third reactant into the reaction chamber. Therefore, the remaining chemically adsorbed first reaction is chemically exchanged. To further help the formation of the metal-oxygen atom layer. After the third reactant is injected into the reaction chamber, a fourth reactant, such as ozone gas, for removing impurities and improving the stoichiometry of the metal oxide film can be injected into the reaction chamber, and the reaction chamber can be injected. Rinse with inert gas. Preferably, the first reactant is a metal reactant, the second reactant that does not contain hydroxide is n20, 02, 03, or co2, and the third reactant is an oxidation gas. . From the step of injecting the first reactant to the step of injecting the third reactant, the temperature of the reaction chamber is preferably maintained at 400 ° C. ^ When the substrate is a broken substrate, the dangling bonds on the surface of the substrate can be capped by injecting an oxidation gas before the first reactant is injected. In the method for forming a thin film by using the ALD method, according to another embodiment, any first reactant that is physically adsorbed on the substrate is obtained by chemically adsorbing the first reactant on the substrate and using an inert gas. The reaction chamber was flushed and removed. The film in atomic layer units is shaped in the following manner. 7- This paper size is applicable to China National Standard (CNS) A4 specification (21〇X 297 public love); ---'--------- -Equipment—— (Please read the note on the back HI first to write this page) Printed clothing by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economy 515032 5. Description of the invention (5

I f 費 成,於反應1:中注入第二種反應物,並以化學方式交換第 一種反應物,以進一步幫助第二種反應物形成。將用以移 除雜質及改良薄膜化學計量之第三種反應物注入反應室中 ,在經由以惰性氣體沖洗反應室,以移除任..何經物理吸附 之第二種反應物後,於其中形成薄膜。 較佳情況是,第一種反應物爲金屬反應物,而第二種與 第三種反應物爲氧化用氣體。較佳情況是,第一種反應物 爲金屬反應物,而第二種與第三種反應物爲氮化用氣體。 當基板爲矽基板時,基板表面之懸垂鍵結,可在注入第一 種反£物之私,藉由注入氧化用氣體或氮化用氣體,而被 封端。從注入第-種反應物之步驟,至注入第三種反應物 之步驟,反應室之溫度係被保持在1〇〇與4〇〇。〇之間。 根據本發明形成原子層薄膜之方法’能夠防止或壓抑不 想要副產物譬如氫氧化物之形成,因此獲得高純度薄膜。 附圖簡述 本發明之上述目的與優點,經由詳細描述其較佳具體實 施例並參考附圖,將變得更爲明瞭,其中: 八丘貝 圖1爲使用習用原子層沉積(ALD)法形成氧化鋁薄膜 程流程圖; 圖2A至20係説明在^氧化銘薄膜形成期間之反應機制; 、圖3係概要地説明根據本發明藉由ALD法形成原子層薄膜 圖4A至4D係説明根據本發明之第_個具體實施例,使用 ALD法形成薄膜方法之反應機制;I f costs, inject the second reactant in reaction 1: and chemically exchange the first reactant to further help the formation of the second reactant. The third reactant used to remove impurities and improve the stoichiometry of the thin film is injected into the reaction chamber, and after the reaction chamber is flushed with an inert gas to remove any of the second reactants which are physically adsorbed, A thin film is formed therein. Preferably, the first reactant is a metal reactant, and the second and third reactants are oxidation gases. Preferably, the first reactant is a metal reactant, and the second and third reactants are nitriding gases. When the substrate is a silicon substrate, the dangling bonds on the surface of the substrate can be injected into the first kind of substrate, and can be terminated by injecting an oxidation gas or a nitriding gas. From the step of injecting the first reactant to the step of injecting the third reactant, the temperature of the reaction chamber is maintained at 100 and 400. 〇between. The method of forming an atomic layer thin film according to the present invention can prevent or suppress the formation of unwanted by-products such as hydroxides, thereby obtaining a high-purity thin film. The above-mentioned objects and advantages of the present invention will be made clearer by describing the preferred embodiments of the present invention in detail and referring to the accompanying drawings. Among them: Fig. 1 shows the use of a conventional atomic layer deposition (ALD) method. Flow chart of forming an alumina film; FIGS. 2A to 20 are diagrams illustrating the reaction mechanism during the formation of the oxide film; and FIG. 3 is a diagram schematically illustrating the formation of an atomic layer film by the ALD method according to the present invention. The _th specific embodiment of the present invention uses the reaction mechanism of the ALD method to form a thin film method;

I 線 I - 8 - 本紙張尺度適用中目國家標準(CNS)A4規格⑵〇 x 297公爱- 經濟部智慧財產局員工消費合作社印制π 發明說明(6 ) 圖5爲根據本發_ $ ,(J 弟—個具體實施例,形成氧化鋁i ^ 製程流程圖; ^风乳化紹;辱膜之 、圖6A 土 6D係,尤明當氧化銘薄膜使 〈反應機制·, &lt; 泛形成時 圖7與8爲圖表,_ + &amp; ^ a A _ ^ ^ x f, Γ.;,&quot; '&quot; ?4 M&quot;J11 ^ f ^^ ^ 圖9爲圖表1 時之殘留氣體分析⑽爾^ ...'員不备虱化鋁薄膜藉由習用技術與本發明第 二固具體實施例形成時’氧化結薄膜根據循環次數之厚度; 、/ 1〇馬圖表:顯示藉由習用技術與本發明第-個具實 =所形成之氧化㈣膜,根據溫度之應力遲滞現象广 .广1馬圖表’顯示藉由習用技術與本發明第一個具體實 ::所形成之氧化鋁薄膜,根據後退火條件之厚度百 分比; -圖丨2與13爲圖表’顯示藉由習用技術與本發明第一個具 ::施例所形成之氧化銘薄膜,根據波長之吸收常數與折 射率; 圖14爲圖表,顯示藉由習用技術與本發明第—個1體會 施例所形成之氧化銘薄膜,根據後退火溫度與周圍氣體之 濕蝕刻速率; 圖15爲截面圖’顯示半導體元件之電容器結構,其係使 用藉由本發明第一個具體實施例形成之介電薄膜; 圖16爲截面圖,顯示半導體元件之電晶體結構,其係使 用藉由本發明第一個具體實施例形成之介電薄膜; 圖η爲一圖表,説明習用電容器與SIS電容器$根據外加電 -9 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項3寫本頁} 裝 • ϋ ϋ ,ϋI line I-8-This paper size is applicable to the national standard (CNS) A4 specification ⑵〇x 297 Public Love-Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives π Description of the invention (6) Figure 5 is based on this issue_ $ (J Brother—a specific example, forming alumina i ^ process flow chart; ^ wind emulsified Shao; shame film, Figure 6A soil 6D system, especially when the oxidizing film makes <Reaction mechanism, &lt; Pan formation Figures 7 and 8 are charts. _ + &Amp; ^ a A _ ^ xf, Γ.;, &Quot; '&quot;? 4 M &quot; J11 ^ f ^^ ^ Figure 9 shows the residual gas analysis in Figure 1. ^ ... 'The thickness of the oxidized junction film according to the number of cycles is formed when the aluminum oxide film is formed by conventional technology and the second embodiment of the present invention; / / 10 horse chart: shows the conventional technology The first and the third embodiment of the present invention have a formation of a hafnium oxide film, which has a wide range of stress hysteresis according to temperature. The graph shows the first concrete example of the present invention through conventional techniques: the formed alumina Thin film, according to the thickness percentage of post-annealing conditions;-Figures 2 and 13 are graphs' showing the conventional technology and the first of the present invention :: The oxide film formed by the examples, the absorption constant and refractive index according to the wavelength; Figure 14 is a chart showing the oxide film formed by the conventional technology and the first one of the present invention to realize the oxide film formed according to the post-annealing Temperature and wet etching rate of surrounding gas; Figure 15 is a cross-sectional view showing a capacitor structure of a semiconductor device using a dielectric film formed by the first embodiment of the present invention; Figure 16 is a cross-sectional view showing a semiconductor device The transistor structure uses a dielectric thin film formed by the first embodiment of the present invention; FIG. Η is a diagram illustrating conventional capacitors and SIS capacitors. A4 size (210 X 297 mm) (Please read the phonetic on the back? Matter 3 write this page} 装 • ϋ ϋ, ϋ

T . I I MW I —&quot;-3,· n ϋ ·*1· emmme I— tmt ·ϋ I 五 、發明說明( 唇之漏電流特徵,其竹使 形成之介電薄膜;使用措由本發明第一個具體實施例 送圖出=圖1,顯示SIS電容器根據等效氧化物薄膜厚度之 介電;膜;“?4用猎由本發明第—個具體實施例形成之 二顯示MIS電容器根據外加電壓之漏電流特徵 ’、\軋由本發明第一個具體實施例形成之介電薄膜; 、t爲圖表,將使用藉由本發明第_個具體實施例形成 ^電薄腠(Mis電容器之漏電流特徵,與習用電容器 漏電流特徵作比較; 口口 /21A與21B爲圖表’顯示當使用根據習用技術與本發明 罘一個具體實施例之氧化鋁薄膜作爲MIM電容器之罩蓋薄 膜時,根據外加電壓之漏電流特徵; · 圖22爲根據本發明使用ALD法形成薄膜方法之第二個具 體實施例流程圖; 〃 圖23A至23D係説明根據本發明之第二個具體實施例,當 氧化銘薄膜使用ALD法藉由形成薄膜之方法生成時,被: 附於基板上之反應物間之結合關係; 圖24爲藉由習用ALD法形成之氧化鋁薄膜之射線光電 子光譜學(XPS)圖形; % 圖25Α與25Β爲圖表,顯示個別藉由習用方法與本發明第 二個具體實施例製成之氧化鋁薄膜之漏電流特徵; 圖26爲根據本發明之第三個具體實施例,使用ald法形 成薄膜方法之流程圖; / -10- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)T. II MW I — &quot; -3, · n ϋ · * 1 · emmme I— tmt · ϋ I V. Description of the invention (the leakage current characteristic of the lip, the dielectric film formed by the bamboo; Figure 1 shows a specific embodiment. Figure 1 shows the dielectric of a SIS capacitor based on the thickness of an equivalent oxide film; the film; "? 4 is used to form the second specific embodiment of the present invention showing the MIS capacitor according to the applied voltage Leakage current characteristics', \ rolling a dielectric thin film formed by the first embodiment of the present invention; and t is a chart, which will be used to form the ^ electric thin film (the leakage current characteristics of a Mis capacitor by the _th embodiment of the present invention) Compared with the leakage current characteristics of conventional capacitors; Mouth / 21A and 21B are graphs' showing that when the alumina film according to the conventional technology and the present invention is used as the cover film of the MIM capacitor, Leakage current characteristics; Fig. 22 is a flowchart of a second embodiment of a method for forming a thin film using an ALD method according to the present invention; Figs. 23A to 23D illustrate a second embodiment according to the present invention. When the thin film is produced by the ALD method by the method of forming a thin film, it is: the binding relationship between the reactants attached to the substrate; Figure 24 is the ray photoelectron spectroscopy (XPS) pattern of the alumina film formed by the conventional ALD method ; Figures 25A and 25B are graphs showing the leakage current characteristics of alumina films made by conventional methods and the second specific embodiment of the present invention; Figure 26 is a third specific embodiment of the present invention, using Flow chart of ald method for forming thin film; / -10- This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm)

頁 J丄J厶 A7Page J 丄 J 厶 A7

使计時圖’顯示根據本發明之第三個具體實施例, 用ALD法形成薄膜期間之反應物供應; 圖8爲圖表’ _不根據本發明之第三個具體實施例 开/成原子層溥膜方法製成之氧化鋁薄膜厚.度,作 此万法步驟次數之函數; 圖29爲圖表,顯示根據本發明之第三個具體實鮮 /成原子層薄膜方法製成之氧化链薄膜之均勾性;Make the timing chart 'show the reactant supply during the formation of a thin film by the ALD method according to the third embodiment of the present invention; FIG. 8 is a chart' _ not to open / form an atomic layer according to the third embodiment of the present invention The thickness and degree of the alumina film made by the 溥 film method as a function of the number of steps in this method; Figure 29 is a chart showing an oxide chain film made according to the third specific fresh / atomic layer film method of the present invention Uniformity

頁 ^«30A^30B^ 二二:具體貫施例形成原子層薄膜之方法,所製成氧 化鋁溥腠又鋁吸收峰之圖表; 圖31A與3 i B爲使用χρ s分析個別藉由習用技術與根據本 發”三,具體實施例使用則法形成薄膜之方法,所製 成氧化链薄膜之碳吸收峰之圖表;及 圖32爲根據本發明第四個具體實施例,形成原子 方法之流程圖。 現在參考附圖,更完整地描述本發明,於附圖中係説明 本發明之較佳具體實施例。但是,本發明可以許多不同形 式體現,且不應被解釋爲受限於本文中所提出之具體實施 例;而是提供此等具體實施例’因此,此揭示内容將爲徹 底且完全的,並對熟諳此藝者完整地傳達本發明之概念。 在附圖中,爲清楚起見,薄屬與區域之厚度係被誇大:亦 應明瞭的是’當-層被㈣”位在”另—層或基板上時,其 可直接在該另一層或基板上,或亦可存在插入層。於不同 -11 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱 515032 五、發明說明(9 ) 附圖中之相同參考數字,係表示相同構件,且此等構件將 只說明一次。 圖3係説明根據本發明使用原子層沉積(ALD)法形成原子 層薄膜之裝置。此裝置包括反應室丨丨,其可..藉由外部加熱 态(未π出)加熱,基座13,被安裝於反應室丨丨之底部以於 其上承載基板15,例如矽基板,簇射頭17,被安裝於基座 13上方,因此可將反應氣體注入反應室丨1中,及眞空泵19 ,經連接至反應室丨1,以控制反應室11内部之壓力。 兩支彼此分開之氣體入口管A與b,係連接至簇射頭I?。 可將第一種反應物、惰性氣體、第二種反應物及第三種反 應物注入蕊射頭17中。第一種反應物爲金屬反應物。惰性 氣體爲氮氣或氬氣。第二種反應物爲未含有氫氧根之氧化 用氣體,例如ί^Ο、〇2、〇3或c〇2氣體或水蒸汽。第三種反 應物爲水蒸汽,或包含氧基之物質,作爲經活化之氧化劑 ,譬如臭氧、〇2電漿或Ν2 Ο電漿。於圖3中,第二種反應物 與弟二種反應物係分開安裝’但是,其可安裝在一起。 第一種反應物與惰性氣體係經過氣體入口管A注入反應 室11中。第二種反應物與第三種反應物係經過氣體入口管 B注入反應室11中。第一種反應物、第二種反應物及第三 種反應物具有不同氣體入口管,以防止其在氣體入口管内 邵彼此反應。第一種反應物與惰性氣體注入反應室11中, 係藉由第一個閥VI與第二個閥V2控制。第二種反應物與第 三種反應物注入反應室11中,係藉由第三個閥V3與第四個 閥V4控制。 -12- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) 裝 ·- 經濟部智慧財產局員工消費合作社印製 515032 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(1(3 ) 現在描述使用上述裝置,報播*八 衣直很據本發明形成原子層薄膜方 法之不同具體實施例。 第一個具體實施例_ 圖4A至4D係説明根據本發明第—個具體貪施例,使用 ALD法形成薄膜方法之反應機制。第一種反應物A係由用 以形成薄膜之原子ai與配位體巧所組成,在其被化學吸附 至基板15例如矽基板上之後,其方式是將第一種反應物a 注入其中裝載該基板之反應室u中,接著藉由注入惰性氣 體沖洗反應室,移除經物理吸附之第一種反應物A(圖4A)。 將第二種反應物B注入含有基板之反應室丨丨中,於該基 板中已吸附第一種反應物A。經由如此進行,使第二種反 應物B化學吸附於第一種反應物a中。一種會活潑地與第 一種反應物A反應之不完備物質,係作爲第二種反應物b 使用。一種物質,其中第二種反應物B與第一種反應物a 之可形成薄膜之原子ai間之結合能,係大於第一種反應物 A之可形成薄膜之原子ai與配位體%間之結合能,係作爲第 二種反應物B使用(圖4B)。 由於第二種反應物B與第一種反應物A之可形成薄膜之原 子ai間之結合能,係大於第一種反應物a之可形成薄膜之 原子ai與配位體a2間之結合能,故第二種反應物B係與第一 種反應物A之可形成薄膜之原子&amp;結合,而配位體a2係自第 一種反應物A分離(圖4C)。 由於自第一種反應物A分離之配位體a2不安定,故揮發性 氣相物質D係經由配位體a9之結合而形成。以原子層爲單 -13- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項寫本頁) N袭 • ϋ I ri ϋ ϋ n —1 一-口V · ϋ I n I I ϋ ϋ -Page ^ «30A ^ 30B ^ 22: Specific examples of the method of forming an atomic layer film, the graphs of the absorption peaks of aluminum oxide and aluminum; Figures 31A and 3 i B are analysis using χρ s individually using conventional techniques In accordance with the third embodiment of the present invention, the method of forming a thin film using the rule method, the graph of the carbon absorption peak of the oxide chain film produced; and FIG. 32 is a flowchart of the method of forming an atom according to the fourth embodiment of the present invention The present invention will now be described more fully with reference to the accompanying drawings, in which the preferred embodiments of the invention are illustrated. However, the present invention may be embodied in many different forms and should not be construed as limited to what is set forth herein. The specific embodiments are proposed; rather, these specific embodiments are provided 'so that this disclosure will be thorough and complete and will fully convey the concepts of the invention to those skilled in the art. In the drawings, for clarity The thickness of the thin genus and region is exaggerated: it should also be clear that when 'the layer is pinched' on the other layer or substrate, it can be directly on the other layer or substrate, or it can also be inserted Layer. Different from -11-This paper size is in accordance with the Chinese National Standard (CNS) A4 specification (210 X 297 Public Love 515032) 5. Description of the invention (9) The same reference numerals in the drawings represent the same components, and these components will only be explained once Figure 3 illustrates an apparatus for forming an atomic layer film using the atomic layer deposition (ALD) method according to the present invention. The apparatus includes a reaction chamber, which can be heated by an external heating state (not π-out), and the base 13 It is installed at the bottom of the reaction chamber 丨 on which the substrate 15 is loaded, such as a silicon substrate, and the shower head 17 is installed above the base 13 so that the reaction gas can be injected into the reaction chamber 1 and the air pump 19, connected to the reaction chamber 丨 1 to control the pressure inside the reaction chamber 11. Two separate gas inlet pipes A and b are connected to the shower head I? The first reactant, inert gas can be connected The second reactant and the third reactant are injected into the core head 17. The first reactant is a metal reactant. The inert gas is nitrogen or argon. The second reactant is oxidation without hydroxide. Use a gas such as ί ^ 〇, 〇2, 〇3, or c 2 gas or water vapor. The third reactant is water vapor, or a substance containing oxygen, as an activated oxidant, such as ozone, 0 2 plasma or N 2 0 plasma. In Figure 3, the second reaction The two reactants are installed separately from the two reactants, but they can be installed together. The first reactant and the inert gas system are injected into the reaction chamber 11 through the gas inlet pipe A. The second reactant and the third reactant It is injected into the reaction chamber 11 through the gas inlet pipe B. The first reactant, the second reactant, and the third reactant have different gas inlet pipes to prevent them from reacting with each other in the gas inlet pipe. The first reaction The reactant and inert gas are injected into the reaction chamber 11 through the first valve VI and the second valve V2. The second reactant and the third reactant are injected into the reaction chamber 11 through the third valve V3 is controlled with a fourth valve, V4. -12- This paper size is in accordance with China National Standard (CNS) A4 (210 X 297 mm) (Please read the notes on the back to write this page first) Installation ·-Printed by the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 515032 A7 Economy Printed by the Consumer Cooperative of the Ministry of Intellectual Property Bureau. 5. Description of the Invention (1 (3) Now describe different specific embodiments of the method for forming an atomic layer thin film according to the present invention using the above device. First specific implementation Example_ Figures 4A to 4D illustrate the reaction mechanism of the method for forming a thin film using the ALD method according to the first specific embodiment of the present invention. The first reactant A is composed of atoms ai and ligands used to form the thin film. After it is chemisorbed onto a substrate 15 such as a silicon substrate, the method is to inject the first reactant a into the reaction chamber u in which the substrate is loaded, and then flush the reaction chamber by injecting an inert gas to remove the Physically adsorb the first reactant A (Figure 4A). The second reactant B is injected into the reaction chamber containing the substrate, and the first reactant A has been adsorbed in the substrate. By doing so, the first reactant A is adsorbed. two Reactant B is chemically adsorbed in the first reactant a. An incomplete substance that will reactively react with the first reactant A is used as the second reactant b. A substance in which the second reactant The binding energy between the film-forming atoms ai of B and the first reactant a is greater than the binding energy between the film-forming atoms ai and the ligand% of the first reactant A, as the second kind Reactant B is used (Figure 4B). Due to the binding energy between the second reactant B and the first reactant A's film-forming atom ai, it is larger than the first reactant a's film-forming atom ai The binding energy with ligand a2, so the second reactant B is combined with the film-forming atom &amp; of the first reactant A, and the ligand a2 is separated from the first reactant A ( (Figure 4C). Because the ligand a2 separated from the first reactant A is unstable, the volatile gaseous substance D is formed through the binding of the ligand a9. Atomic layer is single -13 Applicable to China National Standard (CNS) A4 (210 X 297 mm) (Please read the notes on the back first Page write) N attack • ϋ I ri ϋ ϋ n -1 a - opening V · ϋ I n I I ϋ ϋ -

A7A7

位之薄膜C,係經由第一種反應物A之可形成薄膜之原子&amp; 舁第一種反應物B間之反應,於基板15上形成。揮發性氣 相物質D係經由以惰性氣體沖洗而被移除(圖4D)。 一種情況將被取爲實例,其中係將利用結.·合能上之差異 以形成薄膜之方法,描述於圖4A至4D中,應用於形成氧化 差呂薄膜。 圖5爲根據本發明之第一個具體實施例,形成氧化鋁薄膜 〈製程流程圖。圖6A至6D係説明當使用圖5之ALD法形成 氧化鋁薄膜時之反應機制。第一種反應物A,譬如由可形 成薄膜之銘ai與甲基配位體%所組成之三甲基链 (A1(CH3)3,TMA),將其注入反應室u中,於其中已裝載基板 15,例如矽基板(步驟1〇1)。以物理方式吸附之tma係經由 以惰性氣體沖洗而被移除(步驟1〇3)。經由如此進行,只有 被化學吸附於基板15上之丁MA留下,如圖6A中所示。 第二種反應物,譬如臭氧B,其係爲氧化劑,將其注入 反應室11中,於其中已吸附TMA (步驟1〇5)。經由如此進行 ,使臭氧B化學吸附於TMA之鋁七中,如圖犯中所示。 臭氧B爲會活潑地與TMA反應之不完備物質。於臭氧B與 TMA之鋁ai間之結合能爲約540千焦耳/莫耳,其係大於 TMA之鋁與甲基配位體間之結合能(例如ai_c結合能), 其係爲255千焦耳/莫耳。由於臭氧b與之可形成薄膜 之鋁ai間之結合能,係大於丁μα之可形成薄膜之鋁〜與甲 基配位體間之結合能,故甲基配位體%係自TMA分離, 如圖6C中所示。 515032 A7 B7 五、發明說明(12 、亦由於自TMA分離之甲基配位體%不安定,故由&amp; ^形 成·^揮發性氣相物質D,係經由甲基配位體4之結合而形 成’如圖6D中所示。以原子層爲單位之氧化鋁薄膜c,係 經由丁MA之可形成薄膜之鋁〜與臭氧B間之.反應,在基板 15上形成,如化學反應式3中所示。 2A1(CH3 )3 + 〇3-Al2〇3 + 3C2H6 ...(3) 由C2%形成之揮發性氣相物質D與未反應之甲基配位體% ,係經由第二次使用惰性氣體沖洗反應室而被移除(步驟 107)。檢查氧化鋁薄膜是否形成至適當厚度(步驟1〇9),且 若必要,則循環地重複步驟1〇1至1〇7。 在本具體實施例中,係使用臭氧作爲第二種反應物。但 是,臭氧可使用紫外(uv)射線進一步活化,或可使用〇2電 漿或&amp; 0電漿作爲經活化之氧化劑,代替臭氧,如化學反 應式4中所示。 TMA + 02 (經活化)=&gt; 4 A1(CH3 )3 + 302 — Al2 〇3 + 6 C2 H6 ...(4) 圖7與8爲圖表,顯示當氧化鋁薄膜個別藉由習用技術與 本發明第一個具體實施例形成時之殘留氣體分析(RGA)數據 。在圖7與8中,氧化鋁薄膜係在以箭頭標示之區段中形成。 由於被移除配位體之形式,會根據如上述第二種反應物 B與第一種反應物a反應之機制而改變,故製程期間所產 生之物質會改變。意即,當個別使用TMA與水蒸汽(H2〇)作 爲第一種反應物A與第二種反應物b時,如在圖7中之情況 ,藉由接受來自水蒸汽(H2〇)之氫基而形成之ch3 +與CH4 +係 被檢出,作爲主要副產物。當個別使用TMA與臭氧作爲第 ---j.------------- (請先閱讀背面之注意事寫本頁) 訂: 經濟部智慧財產局員工消費合作社印製 -15- 515032 A7 B7 五、發明說明(13 經濟部智慧財產局員工消費合作社印製 一種反應物A與第二種反應物b時,如同關於圖8之情、、尸 係移除CH3配位體,因此檢出4% +或作爲產 物0 圖9爲一圖表,顯示當氧化鋁薄膜藉由習用技術與本發明 之第一個具體實施例形成時,氧化鋁薄膜根據循環次數之 厚度。所沉積薄膜之厚度,係藉由各反應物供料循環之^ 數測定,因爲原子層沉積(ALD)法係爲表面控制製程。音^ ,當厚度隨著循環次數而線性地增加時,係意謂薄膜係^ 由ALD法形成。如圖9中所示,由於厚度在習用技術及在^ 發明中線性地增加,故發現此薄膜係藉由ALD法形成。 其係顯示習用技術(標示爲#),其中係使用水蒸汽作爲 第二種反應物B,與本發明(標示爲〇),其中係使用臭^ 作爲第二種反應物B,兩者之間於潛伏循環上之声昱。音 即,於本發明(標示爲Ο)中,薄膜係從最初循環沉積,而 無潛伏循環。但在習用技術(標示爲#)中,薄膜係在12次 循環之潛伏期後沉積。由此可見,氧化鋁薄膜係更安定地 於本發明中形成,因爲此薄膜係藉由非均相反應形成。 圖10爲一圖表,顯示根據藉由習用技術與本發明第一個 具體實施例形成之乳化链薄膜溫度之應力遲滯現象。 明確T之,在使用TMA作爲第一種反應物A且使用水蒸 汽作爲第二種反應物B开&gt; 成之習用氧化鋁薄膜之應力遲滞 現象(標示爲□)中,應力之形式係於45〇°C下從張應力改變 成壓縮應力。而另一方面,在根據本發明氧化鋁薄膜之應 力遲滞現象(標示爲籲)中’其係個別使用TMA與臭氧作爲 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項寫本頁) 裝The thin film C is formed on the substrate 15 through the reaction between the atoms of the first reactant A capable of forming a thin film and the first reactant B. The volatile gaseous substance D was removed by flushing with an inert gas (Figure 4D). A case will be taken as an example, in which a method of forming a thin film using a difference in junction energy is described in FIGS. 4A to 4D and applied to forming an oxide thin film. FIG. 5 is a process flow chart of forming an alumina film according to a first embodiment of the present invention. 6A to 6D illustrate the reaction mechanism when an alumina film is formed using the ALD method of FIG. The first reactant A, for example, a trimethyl chain (A1 (CH3) 3, TMA) consisting of a film-forming ai and a methyl ligand%, is injected into the reaction chamber u, where The substrate 15 is mounted, for example, a silicon substrate (step 101). The physically adsorbed tma is removed by flushing with an inert gas (step 103). By doing so, only Ding MA, which is chemisorbed on the substrate 15, remains, as shown in Fig. 6A. The second reactant, such as ozone B, is an oxidant and is injected into the reaction chamber 11 where TMA has been adsorbed (step 105). By doing so, the ozone B is chemically adsorbed in the aluminum seven of TMA, as shown in the figure. Ozone B is an incomplete substance that actively reacts with TMA. The binding energy between ozone B and aluminum ai of TMA is about 540 kilojoules / mole, which is larger than the binding energy between aluminum and methyl ligands of TMA (such as ai_c binding energy), which is 255 kilojoules. / Mol. Because the binding energy between ozone b and the aluminum ai which can form a thin film is greater than the binding energy between aluminum which can form a thin film with d μα and the methyl ligand, the methyl ligand% is separated from TMA, As shown in Figure 6C. 515032 A7 B7 V. Description of the invention (12. Also because the methyl ligand% isolated from TMA is unstable, it is formed by &amp; ^ volatile volatile gaseous substance D, which is bound via methyl ligand 4 The formation is as shown in FIG. 6D. The alumina thin film c in atomic layer units is formed by the formation of a thin film of aluminum through butyl MA ~ and ozone B. The reaction is formed on the substrate 15, such as a chemical reaction formula Shown in 3. 2A1 (CH3) 3 + 〇3-Al2〇3 + 3C2H6 ... (3)% of volatile gaseous substance D formed by C2% and unreacted methyl ligand, The reaction chamber was flushed with an inert gas twice to be removed (step 107). It was checked whether the alumina film was formed to an appropriate thickness (step 109), and if necessary, steps 101 to 107 were repeated cyclically. In this specific embodiment, ozone is used as the second reactant. However, ozone can be further activated using ultraviolet (UV) rays, or 02 plasma or &amp; 0 plasma can be used as the activated oxidant instead Ozone, as shown in chemical reaction formula 4. TMA + 02 (activated) => 4 A1 (CH3) 3 + 302 — Al2 〇3 + 6 C2 H6 ... (4) Figures 7 and 8 are graphs showing residual gas analysis (RGA) data when alumina films are individually formed by conventional techniques and the first embodiment of the present invention. In Figure 7 As in 8, the alumina film is formed in the section indicated by the arrow. Because the form of the removed ligand will be changed according to the mechanism of the reaction between the second reactant B and the first reactant a as described above Therefore, the substances generated during the manufacturing process will change. That is, when TMA and water vapor (H2O) are used individually as the first reactant A and the second reactant b, as in the case of FIG. 7, borrow Ch3 + and CH4 + formed by receiving hydrogen radicals from water vapor (H2〇) were detected as the main by-products. When using TMA and ozone individually as the first --- j .------- ------ (Please read the note on the back first to write this page) Order: Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs-15- 515032 A7 B7 V. Invention Description (13 Employee Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs When printing one reactant A and the second reactant b, as in the case of Figure 8, the corpse removed the CH3 coordination Therefore, 4% + is detected or as product 0. Fig. 9 is a graph showing the thickness of the alumina film according to the number of cycles when the alumina film is formed by conventional technology and the first embodiment of the present invention. The thickness of the deposited film is determined by the number of feeding cycles of each reactant, because the atomic layer deposition (ALD) method is a surface control process. Sound ^, when the thickness increases linearly with the number of cycles, the meaning is It is said that the thin film system is formed by the ALD method. As shown in FIG. 9, since the thickness increases linearly in the conventional technology and in the invention, the thin film was found to be formed by the ALD method. It shows the conventional technology (labeled as #), which uses water vapor as the second reactant B, and the present invention (labeled as 0), which uses odor ^ as the second reactant B, between The voice on the latency loop Yu. That is, in the present invention (designated as 0), the thin film is deposited from the initial cycle without a latent cycle. However, in the conventional technique (labeled #), the thin film is deposited after the incubation period of 12 cycles. It can be seen that the alumina thin film is formed more stably in the present invention because the thin film is formed by a heterogeneous reaction. Fig. 10 is a graph showing the stress hysteresis of the temperature of the emulsion chain film formed by the conventional technique and the first embodiment of the present invention. It is clear that in the phenomenon of stress hysteresis (labeled as □) in the conventional alumina film using TMA as the first reactant A and water vapor as the second reactant B, the form of the stress is Changed from tensile stress to compressive stress at 45 ° C. On the other hand, in the phenomenon of stress hysteresis (labeled as 吁) of the alumina film according to the present invention, it uses TMA and ozone individually as -16. 297 mm) (Please read the phonetic on the back? Matters write this page)

• n ϋ ri n ϋ n I• n ϋ ri n ϋ n I

515032 五、發明說明(14 ) 第-種反應物A與第二種反應㈣而形成 整個溫度範圍内均爲張應力,意即,應力模改:在 因此,應&gt;王意的是,根據本發明所形成之薄膜對熱較安定。 圖11爲一圖表,顯示根據藉由習用技術與-本發明第一個 具體實施例形成之氧化銘薄膜後退火條厚 比。在X轴上,咖、刪及N830爲在氮大氣中H 4二C、腻及Wc下後退火之試樣。〇45〇、〇75〇及⑽爲 在虱大氣中,個別於45〇。(:、75(Γ(:及83(Γ(:下後退火之試樣 /TO爲在酿下,於其上施行快速熱氧化作用之試^ 意的是,根據氧化銘薄膜中後退火之溫度與氣體條件 2度收縮百分比(厚度降低率),並未依據此等薄膜係藉 二,:技術形成或者藉由本發明第一個具體實施例 頒f地改變。 础:1:與13,爲圖表’顯示藉由習用技術與本發明第一個具 :例形成《乳化鋁薄膜’根據波長之吸收常數與折射 羊。措由習用技術與本發明第一個具體實施例形成 ㈣膜’其吸收常數對於⑽至簡毫微米之波長,係低於 〇侧’如圖12中所示。意即,藉由習用技術與本發明第— :了具體實施例形成之氧化鋁薄膜,顯示優越透明度 習用技術與本發明第一個具體實施例 :: :折射率對於⑽至_毫微米波長,並未顯著 圖13中所示。 f ^又又,如 消515032 5. Description of the invention (14) The first reactant A reacts with the second reactant to form a tensile stress over the entire temperature range, which means that the stress is modified: Therefore, it should be &gt; The film formed by the present invention is more stable to heat. Fig. 11 is a graph showing a thickness ratio of annealed strips after an oxide film formed by a conventional technique and a first embodiment of the present invention. On the X-axis, C, N, and N830 are samples that are post-annealed under nitrogen, H 4, C, and Wc in a nitrogen atmosphere. 〇45〇, 〇705, and ⑽ are in the atmosphere of lice, respectively, at 540. (:, 75 (Γ (: and 83 (Γ (: lower and post-annealed samples / TO is a test for rapid thermal oxidation under fermentation, ^ It is meant that according to the post-annealing in the oxide film) The temperature and gas conditions have a 2 degree shrinkage percentage (thickness reduction rate), which is not based on these thin film systems: technology is formed or changed by the first embodiment of the present invention. Basics: 1: and 13, as The graph 'shows the absorption coefficient and refractive index of the "emulsified aluminum thin film" according to the wavelength by the conventional technique and the first method of the present invention. The absorption is formed by the conventional technique and the first embodiment of the present invention and its absorption The constant is below the 0 for wavelengths of ⑽ to simple nanometers, as shown in Fig. 12. That is, the alumina thin film formed by the conventional technology and the present invention is shown in the specific embodiment, showing superior transparency. Technology and the first specific embodiment of the present invention:: The refractive index is not significant for wavelengths from ⑽ to _ nanometers, as shown in Figure 13. f ^ Again, such as

I 訂 參圖14爲―圖表,顯示藉由習用技術與本發明第一個具體 形成I乳化鋁薄膜,根據後退火溫度與周圍氣體之 本纸張尺㈣財國^準(cns)A4驗(2ig -17 · 515032 A7 B7 扳上 五、發明說明(15 濕银刻速率。於X軸上’剛沉積(as-dep)爲在沉積於基______ 之後,未被退火之試樣。N450、N750及N830爲在氮大氣4 ,於450°C、75(TC及830°C下後退火之試樣。〇450、〇75〇石 0830爲在氧大氣中,於450°C、750°C及830°C ·下後退火之言 樣。RTP爲在氧大氣中,於850°C下進行快速熱氧化之試名 。當個別試樣藉由200 : 1 HF溶液進行濕蝕刻時,γ軸表力 I虫刻速率。 如圖14中所示,在藉由習用技術與本發明第一個具體, 施例形成之氧化鋁薄膜中,當退火溫度增加時,濕蚀刻七 率會降低,與退火條件無關。特定言之,當後退火在高方 800°C之溫度下進行時,蝕刻速率係迅速地降到2至3人/ ^ 鐘。而且,當後退火在低於800°C之溫度下進行時,根據4 發明第一個具體實施例之氧化鋁薄膜之蝕刻速率,係低方 根據習用技術之氧化鋁薄膜之I虫刻速達約3〇0。。由此可^ ’與當使用水蒸汽作爲氧化用氣體時比較,當使用臭氧子 爲氧化用氣體時氧化物薄膜於化學上較安定。 現在描述一種情況,其中係將藉由本發明第一個具體1 施例形成之氧化鋁薄膜,用於半導體元件。 圖15爲截面圖,顯示半導體元件之電容器結構,其係七 用藉由本發明第一個具體實施例形成之介電薄膜。使用華 $本發明第一個具體實施例形成之介電薄膜之半導體元卡 電容器,係、包括下方電極205,於基板2〇1例如碎基板上男 成,介電薄膜207,及上方電極209。於圖15中,參考數^ 2〇3與2U係個別表示夬層彳電薄膜,及在電容器丄方以 裝---.-----訂--------- (請先閱讀背面之注意事項寫本頁) 經濟部智慧財產局員工消費合作社印製Fig. 14 is a chart showing the first specific formation of an I-emulsified aluminum film by conventional technology and the present invention. According to the post-annealing temperature and the surrounding gas, the paper size of the paper is based on A4 inspection (cns) A4 ( 2ig -17 · 515032 A7 B7 Fifth, description of the invention (15 wet silver engraving rate. 'As-dep' on the X-axis is a sample that has not been annealed after being deposited on the base ______. N450, N750 and N830 are samples annealed in nitrogen atmosphere at 450 ° C, 75 ° C and 75 ° C. 0450, 0750, and 0830 are in oxygen atmosphere at 450 ° C and 750 ° C. And 830 ° C · Post-annealing. RTP is the experimental name for rapid thermal oxidation in an oxygen atmosphere at 850 ° C. When individual samples are wet-etched with a 200: 1 HF solution, the gamma axis Surface force I etch rate. As shown in FIG. 14, in the aluminum oxide film formed by the conventional technique and the first specific embodiment of the present invention, when the annealing temperature increases, the wet etching rate will decrease, and The annealing conditions are irrelevant. In particular, when the post-annealing is performed at a high temperature of 800 ° C, the etching rate is rapidly reduced to 2 to 3 people / ^. When the post-annealing is performed at a temperature lower than 800 ° C, the etching rate of the alumina film according to the first specific embodiment of the invention is a low-etching speed of about 3 according to the conventional technology of the alumina film. 〇0. Thus, compared with when steam is used as the oxidation gas, the oxide film is more chemically stable when ozone is used as the oxidation gas. A case will now be described in which the present invention will be applied by the present invention. The alumina film formed in the first embodiment 1 is used for semiconductor elements. Fig. 15 is a cross-sectional view showing the capacitor structure of the semiconductor element, which is a dielectric film formed by the first embodiment of the present invention. A semiconductor cell card capacitor using a dielectric film formed by the first embodiment of the present invention is a system including a lower electrode 205, a male substrate on a substrate 201 such as a broken substrate, a dielectric film 207, and an upper electrode 209. In Figure 15, the reference numerals ^ 203 and 2U respectively indicate the 彳 layer electric thin film, and the capacitor side is installed with ------------ order --------- ( (Please read the notes on the back to write this page) Intellectual Property Office employees consumer cooperatives printed

515032 五、發明說明(16 ) 上形成之罩蓋層。 2。於Λ1中,—種電容器,其中上方電極·盘下方 利用本發明第—項且开而介電薄膜朗系由 係被稱爲,•阳電容二,其 由摻雜雜質之多晶石夕薄膜二其中下方電極㈣ 明第-個具體實施氧化=溥™^ 2〇9係由錫薄膜形成,並厂呂’輪製成,及上方電極 器,其中上方也爲&quot;MIS電容器,,。—種電容 穴與_形成,1介電極205係由銘族貴金屬(譬如 ^ST(BaSrTi〇3))^ , 面圖,顯示半導體元件之電晶體結構, 據本發明第一個且髀實#仞、人成(a包蹲胰。使用根 勺知於盆4 、^Λ她例又介電薄膜之半導體元件,係 ί Ιΐ 經摻雜雜質,譬如嶙、碎、删,其係充作 二-個電極,間極絕緣薄膜3〇5,其 : 電極撕,其係充作第二個電極。於圖2中,參考:字 示源極與没極區域,其係爲經雜質摻雜之區域考數子如表 明=1據:發:半導體元件之電晶體結構,與根據本發 3〇7作/疋(❹益結構比較時,硬基板301與閉電極 係相⑤於包谷器之介電薄膜。 爲:於解釋起見,現在參考電容器之結構,描述介電薄 巴緣特徵,但是,相同處理適用於電晶體。 I__ 19_ 本紙張尺度適用r _冢標準(CNS)A4規格土〇 χ撕公髮5 訂 4 A7 B7 五、 發明說明(17 ) 圖17爲一圖表’說明習 — 電壓之漏電流特徵,該s:電;谷; 具體實施例形成之介電薄膜。D。係使用楮由本發明第-個 明確言之,根據本發明之幻 · 電容器(標示爲·)相同,惟二:(,不爲0)係與習用 ,係與形成習用電容器介=SIS電容器介電薄膜之方法 示,在-般半導體元件之“ Γ=万法不同。如圖17中所 下,音gp 1F 7A/ 2 ,兒奋态中可被允許之漏電流密度 下心即lE_7A/cm,根據本發明之犯電容 電壓大於習用電容器之送出而 ^ 、 兒壓(·)。因此,由於在其一 漏電流値下,於根據本發明之SIS電 、一“ 之厚度可被降低,故根據本發 ;;&amp; ^ ’介電溥膜 半導心件之整合程度是有利的^^⑼對於增加 之::圖· 員不SIS電容器根據等效氧化物薄膜厚度 运::|:其中係使用藉由本發明第—個具體實施例形 二發明之SIS電容器,顯示安定絕 緣特欲,直到等效氧化物薄膜之厚度爲35A以,故送出 :壓並未顯著地降低。當等效氧化物薄膜之厚度低於35入 時’送出電壓係迅速地降低,因此絕緣特徵品質降低。 圖19爲-圖表,顯示MIS電容器根據外加電壓之漏電流特 徵,其係使用藉由本發明第—個具體實施例形成之介電薄 膜。以下述作爲一般參考値’當漏電流密度爲脱,且電 壓爲1·2 V時,在根據本發明之Ms電容器之情況中,等嗖 氧化物薄膜之厚度可爲26·5Α。當等效氧化物薄膜之厚度降 低時,其對於增加半導體元件之整合程度極有利。 •20- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱515032 V. The cover layer formed on the description of the invention (16). 2. In Λ1, a capacitor, in which the upper electrode and the lower plate use the first item of the present invention and the dielectric thin film is referred to as a positive capacitor, which is composed of a doped polycrystalline silicon thin film The lower electrode ㈣ Ming is the first to implement oxidation = 溥 ™ ^ 209 is made of tin thin film, and is made by the factory's wheel, and the upper electrode device, where the upper part is also a "MIS capacitor". A type of capacitor hole is formed by a dielectric electrode 205 made of a precious metal of the Ming family (for example, ^ ST (BaSrTi〇3)), a plan view showing the transistor structure of a semiconductor element.仞, human into (a package squat pancreas. The use of a root spoon is known in pots 4 and ^ Λ She is a semiconductor element with a dielectric thin film, which is ί Ιΐ doped with impurities, such as 、, broken, deleted, which is used as two -An electrode, an interlayer insulating film 3 05, which: The electrode is torn, which serves as the second electrode. In Figure 2, reference: the word shows the source and non-electrode regions, which are doped with impurities If the regional test data indicates = 1 data: hair: the transistor structure of the semiconductor device, compared with the current structure of 307 / ❹ (benefit structure comparison, the hard substrate 301 and the closed electrode system phase ⑤ in the breadth of the device Electrical film. For the sake of explanation, we will now describe the characteristics of the dielectric thin edge with reference to the structure of the capacitor, but the same treatment applies to the transistor. I__ 19_ This paper standard applies to r_ Tsukazumi (CNS) A4 specifications. χ Tearing public hair 5 Order 4 A7 B7 V. Description of the invention (17) Figure 17 is a chart 'Explanation Exercise-Voltage Leakage Flow characteristics, the s: electricity; valley; the dielectric film formed by the specific embodiment. D. The use of 楮 from the first of the present invention clearly states that the magic capacitor according to the present invention (labeled as ·) is the same, except that: (, Not 0) is related to the conventional method, and is related to the method of forming the conventional capacitor dielectric = SIS capacitor dielectric film, which is different in the general semiconductor element "Γ = Wanfa. As shown in Figure 17, the tone gp 1F 7A / 2, the allowable leakage current density in the child's state is lE_7A / cm. According to the present invention, the capacitor voltage is greater than the output of the conventional capacitor, and the child voltage (·). Therefore, due to its leakage current In the following, the thickness of the SIS electrode according to the present invention can be reduced, so according to the present invention, the integration degree of the dielectric diaphragm semiconducting member is advantageous ^^ ⑼ for the increase :: Figure · The SIS capacitor is operated according to the thickness of the equivalent oxide film :: |: which uses the SIS capacitor of the second embodiment of the present invention, which shows the stability of insulation and insulation, until the equivalent oxide film The thickness is less than 35A, so the output: pressure is not significantly reduced. When the thickness of the equivalent oxide film is less than 35 ', the output voltage is rapidly reduced, so the quality of the insulation characteristics is reduced. Figure 19 is a graph showing the leakage current characteristics of the MIS capacitor according to the applied voltage. A dielectric film formed by a specific embodiment. The following is used as a general reference: 'When the leakage current density is off and the voltage is 1.2 V, in the case of the Ms capacitor according to the present invention, the iso-oxide film is The thickness can be 26 · 5A. When the thickness of the equivalent oxide film is reduced, it is extremely beneficial to increase the integration degree of semiconductor components. • 20- This paper size applies to China National Standard (CNS) A4 (210 X 297) Love

I 頁 # 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 515032 經濟部智慧財產局員工消費合作社印剩衣 A7 B7__五、發明說明(18 ) 圖20爲一圖表,用以將使用本發明第一個具體實施例形 成之介電薄膜之MIS電容器之漏電流特徵,與習用電容器 之漏電流特徵作比較。除了習用電容器之介電薄膜與MIS 電容器之介電薄膜不同之外,此習用電容器&quot;係與根據本發 明之MIS電容器相同。如圖20中所示,在使用根據本發明 第一個具體實施例氧化鋁薄膜之MIS電容器中之外加電壓 ,在每單元lfA之漏電流値中,係大於其中使用Ta〇薄膜或 NO薄膜作爲介電薄膜之習用電容器中之外加電壓。換言之 ,根據本發明MIS電容器之漏電流特徵,即使在薄的等效 氧化物薄膜下,係優於習用電容器之漏電流特徵。於圖20 中,在括弧中之數字表示介電薄膜之厚度。 圖21A與21B爲圖表,顯示當使用根據習用技術與本發明 第一個具體實施例之氧化鋁薄膜作爲MIM電容器之罩蓋薄 膜時,根據外加電壓之漏電流特徵。在圖21A與21B中,'’ π表示當未使用罩蓋薄膜時之MIM電容器。於圖21A中, 〃籲〃表示其中氧化鋁薄膜根據習用技術被製成罩蓋薄膜之 情況。” ▼ π表示其中被製成罩蓋薄膜之氧化鋁薄膜係於400 °C下經氫退火之情況。於圖21Β中,η # 表示其中氧化鋁 薄膜係根據本發明第一個具體實施例被製成罩蓋薄膜之情 況。” 表示其中被製成罩蓋薄膜之氧化鋁薄膜係於400°C 下經氫退火之情況。”表示其中被製成罩蓋薄膜之氧化 鋁薄膜係於700°C下經氮退火之情況。 通常,在將MIM電容器使用於半導體元件時,介電薄膜 於氫退火期間品質會降低,該退火係在連續合金製程中進 -21 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事 寫本頁) U« IBB1 m ϋ ·ϋ I —ϋ-, I im 1_ I· mmmmmmm n· n I 片V -V-口 # 515032 A7 五、發明說明(19 ) 行。因此,在MIM電容器上形成充作氫障壁之罩蓋薄膜。 如圖21A中所示,當藉由本發明第一個具體實施例形成之 氧化鋁薄膜作爲罩蓋薄膜使用時,其漏電流特徵之品質不 會降低,因爲在進行連續氫退火程序後,其.·障壁特徵二爲 優越的。但是,當藉由習用技術形成之氧化鋁薄膜作爲罩 蓋薄膜使用時,如圖21B中所示,水蒸汽之氫與〇11配位體 ,會使沉積過程期間,MIM電容器漏電流特徵之品質降低= 第二個具體膏、 * 圖22爲根據本發明使用ALD法形成薄膜方法之第二個具 體實施例之流程圖。使基板15之懸垂鍵結與氧結合之末端 處理,係藉由氧溢流基板(圖3之15),例如矽基板,使用= 化用氣體進行(步驟21)。意即,在氧可結合至基板15之任 何位置處,氧係藉由氧溢流基板(圖3之15)而結合至基板, 例如使用氧化用氣體温流矽基板。懸垂鍵結可與氧結合, 意即氧可在任何可採用之位置處,結合至基板,不僅藉由 施,氧溢流,而且藉由施行臭氧清除,及形成氧化石夕薄膜 。氧溫流亦可不在基板15上施行。 在將基板15裝載於反應室(圖3之u)中之後,使用加熱器 (未示出),將反應室丨丨之處理溫度保持在丨⑻與々^^之間 ,較佳係在300與藏之間,及反應室U之處理壓力保持 在1與1〇,〇〇〇毫托之間(步驟23)。處理溫度與處理壓力係在 連續步驟中保持著,但是,若必要其可以改變。 將第-種反應物,譬如三甲基紹⑻(CH3)3: TMA),經過 氣體入口管及貘射頭17注入反應室Μ,歷經足夠長之時 22-I Page # Member of the Intellectual Property Bureau of the Ministry of Economics X Printed by the Consumer Cooperative 515032 Printed clothes A7 B7__ of the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (18) Figure 20 is a chart used to use the first of the present invention The leakage current characteristics of the MIS capacitor of the dielectric film formed in the specific embodiment are compared with the leakage current characteristics of the conventional capacitor. This conventional capacitor is the same as the MIS capacitor according to the present invention, except that the dielectric film of the conventional capacitor is different from that of the MIS capacitor. As shown in FIG. 20, in the MIS capacitor using the alumina thin film according to the first embodiment of the present invention, the applied voltage is larger than the leakage current 値 of each unit lfA, in which a Ta thin film or a NO thin film is used as A voltage is applied to a conventional capacitor of a dielectric film. In other words, the leakage current characteristic of the MIS capacitor according to the present invention is superior to that of a conventional capacitor even under a thin equivalent oxide film. In FIG. 20, the number in parentheses indicates the thickness of the dielectric film. 21A and 21B are graphs showing characteristics of leakage current according to an applied voltage when an alumina film according to a conventional technique and the first embodiment of the present invention is used as a cover film of a MIM capacitor. In FIGS. 21A and 21B, '' π represents a MIM capacitor when a cover film is not used. In FIG. 21A, 〃 appeals to the case where an alumina film is made into a cover film according to a conventional technique. ”▼ π indicates the case where the aluminum oxide film made into the cover film is hydrogen-annealed at 400 ° C. In FIG. 21B, η # indicates that the aluminum oxide film is used according to the first embodiment of the present invention. The case where the cover film is made. "Indicates the case where the aluminum oxide film made into the cover film is hydrogen-annealed at 400 ° C. "Indicates the case where the aluminum oxide film made into the cover film is annealed at 700 ° C. Generally, when a MIM capacitor is used in a semiconductor device, the quality of the dielectric film is reduced during hydrogen annealing, and the annealing is performed. -21 in continuous alloy manufacturing process-This paper size is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) (Please read the notes on the back first to write this page) U «IBB1 m ϋ · ϋ I — ϋ-, I im 1_ I · mmmmmmm n · n I piece V-V-port # 515032 A7 V. Description of the invention (19) OK. Therefore, a cover film filled as a hydrogen barrier is formed on the MIM capacitor. Figure 21A As shown in the figure, when the alumina film formed by the first embodiment of the present invention is used as a cover film, the quality of its leakage current characteristics will not be reduced, because after the continuous hydrogen annealing process is performed, its barrier characteristics The second is superior. However, when the alumina film formed by the conventional technology is used as a cover film, as shown in FIG. 21B, hydrogen of water vapor and 〇11 ligand will cause MIM capacitors during the deposition process. Reduced quality of leakage current characteristics = Two specific pastes, * Fig. 22 is a flowchart of a second specific embodiment of the method for forming a thin film using the ALD method according to the present invention. The end treatment of the dangling bond of the substrate 15 and the combination of oxygen is performed by oxygen overflowing the substrate (Fig. 15-15), for example, a silicon substrate, is performed using a chemical gas (step 21). That is, where oxygen can be bonded to any position of the substrate 15, the oxygen overflows the substrate by oxygen (15 of Fig. 3) ) And bonded to the substrate, for example, using a warm-flow silicon substrate for oxidation gas. The dangling bond can be combined with oxygen, which means that oxygen can be bonded to the substrate at any applicable position, not only by application, oxygen overflow, but also By performing ozone removal and forming a thin film of oxidized stone. The oxygen temperature flow may not be performed on the substrate 15. After the substrate 15 is loaded in the reaction chamber (u in FIG. 3), a heater (not shown) is used. Keep the processing temperature of the reaction chamber 丨 丨 between 丨 ⑻ and 々 ^^, preferably between 300 and Tibet, and the processing pressure of the reaction chamber U between 1 and 10,000 millitorr. (Step 23). The processing temperature and the processing pressure are maintained in successive steps. That, if necessary, it may be changed - of reactants, such as trimethyl Jishao ⑻ (CH3) 3:. TMA), through the gas inlet tube and exit Tapir head 17 into the reaction chamber [mu], after a sufficiently long time of 22-

I 頁 # 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 本紙張尺度過財關家標準(CNS)A4 χ 297公爱_ 515032 A7 -—___B7___ 五、發明說明(2〇 ) 間’以覆蓋基板表面,例如在1毫秒與10秒之間,其方式 是打開第一個閥VI,同時保持處理溫度與處理壓力(步驟25) 。經由如此進行,使第一種反應物A化學吸附至氧溢流過 之石夕基板上。 將反應室11以惰性氣體,譬如氬,沖洗0.1與100秒之間, 其方式是選擇性地打開第二個閥V2,同時保持處理溫度與 處理壓力(步驟27)。經由如此進行,移除僅被物理沉積於 基板15上之第一種反應物。 將未含有氫氧根之第二種反應物,例如氧化用氣體,經 過叙射頭17注入反應室11中,其方式是打開第三個閥(V3) ,同時保持處理溫度與處理壓力(步驟29)。可使用N2〇、 〇2、〇3或C〇2氣體,作爲第二種反應物。經由如此進行, 被化學吸附之第一種反應物會與第二種反應物反應。因此 ’第一種反應物係以化學方式交換,以形成金屬-氧原子層 薄膜。第一種反應物不會完全與第一種反應物反應。但是 ’其能夠形成金屬-氧原子層,而不會如稍後所述,在金屬 氧化物薄膜中產生氫氧化物。 以惰性氣體第二次沖洗反應室Π,歷經〇.1與1〇〇秒之間, 經濟部智慧財產局員工消費合作社印製 ---------------Γ I I (請先閱讀背面之注意事寫本頁) # 和除/又有必要之反應物,同時保持處理溫度與處理壓力(步 驟 31) 〇 藉由打開第四個閥V4,使第三種反應物,例如氧化物, 吕如水恶Ά ’經過裘射頭17注入反應室11中,歷經足夠長 時間,以覆盖基板表面,例如在1毫秒與1〇秒之間(步骤%) 。經由如此進行,由於第三種反應物會比第二種反應物更 -23- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 515032 A7 五、發明說明(21 ) 活潑地與第一種反應物反應,故未與第二種反應物反應之 第一種反應物,會與第三種反應物反應,並以化學方式交 換,以進一步幫助金屬-氧原子層薄膜形成。此時,由於可 採用之第一種反應物量降低,因爲先前使未.·含有氫氧根之 第二種反應物與第一種反應物反應,故形成以原子層爲單 位之金屬乳化物薄膜’其中氫氧化物之產生係被防止。 在本具體實施例中,氧化鋁薄膜(Al2〇3)爲金屬氧化物薄 膜之一種實例。但是,Ti〇2薄膜、Zr〇2薄膜、Hf〇2薄膜、 Ta2 05薄膜、Nb2 05薄膜、Ce〇2薄膜、γ2 〇3薄膜、si〇2薄膜、 1¾〇3薄膜、Ru〇2薄膜、lr〇2薄膜、SrTi〇3薄膜、pbTi〇3薄膜、I Page # Printed by the Consumers ’Cooperative of the Ministry of Economic Affairs, Intellectual Property Bureau, Paper Size Standard for Financial Relations (CNS) A4 χ 297 Public Love _ 515032 A7 --- ___B7___ V. Description of the Invention (20) to cover the surface of the substrate, For example, between 1 millisecond and 10 seconds, the method is to open the first valve VI while maintaining the processing temperature and processing pressure (step 25). In this way, the first reactant A is chemically adsorbed onto the Shixi substrate through which oxygen overflows. The reaction chamber 11 is flushed with an inert gas, such as argon, for between 0.1 and 100 seconds by selectively opening the second valve V2 while maintaining the processing temperature and processing pressure (step 27). By doing so, only the first reactant which is physically deposited on the substrate 15 is removed. The second reactant that does not contain hydroxide, such as an oxidizing gas, is injected into the reaction chamber 11 through the sparger 17 by opening the third valve (V3) while maintaining the processing temperature and processing pressure (step 29). As the second reactant, N2O, O2, O3, or CO2 gas can be used. By doing so, the first reactant being chemisorbed will react with the second reactant. Therefore, 'the first reactant is chemically exchanged to form a thin film of a metal-oxygen layer. The first reactant will not completely react with the first reactant. However, it is capable of forming a metal-oxygen atom layer without generating hydroxide in a metal oxide film as described later. The reaction chamber was flushed with an inert gas for a second time. After 0.1 to 100 seconds, it was printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs. (Please read the note on the back first to write this page) # and // necessary reactants, while maintaining the processing temperature and pressure (step 31) 〇The third reactant is opened by opening the fourth valve V4 For example, the oxide, Lu Rushui Ά 'is injected into the reaction chamber 11 through the jet head 17 and has been long enough to cover the substrate surface, for example, between 1 millisecond and 10 seconds (step%). By doing so, the third reactant will be more effective than the second reactant. -23- This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 515032 A7. 5. Description of the invention (21) Lively Ground reacts with the first reactant, so the first reactant that has not reacted with the second reactant will react with the third reactant and chemically exchange to further help the formation of the metal-oxygen atom layer film . At this time, since the amount of the first reactant that can be used is reduced, since the second reactant that does not contain hydroxide is reacted with the first reactant, a metal emulsion film is formed in atomic layer units. 'Where the generation of hydroxide is prevented. In this embodiment, an aluminum oxide film (Al203) is an example of a metal oxide film. However, TiO2 film, ZrO2 film, HfO2 film, Ta2 05 film, Nb2 05 film, Ce02 film, γ2 03 film, si02 film, 1¾0 film, Ru〇2 film, lr〇2 film, SrTi〇3 film, pbTi〇3 film,

SrRu〇3薄膜、CaRu〇3薄膜、(Ba,Sr)Ti〇3薄膜、pb(Zr,Ti)〇3薄膜、 (Pb,La)(Zr,Ti)〇3 薄膜、(Sr,Ca)Ru〇3 薄膜、(Ba,Sr)Ru〇3 薄膜、換雜 Sn之Ιη2〇3(ΓΓΟ)薄膜及摻雜Zr之薄膜,係爲可根據本發 明產生之金屬氧化物薄膜之其他實例。 完成一次循環(步驟35),其中以原子層爲單位之金屬氧 化物薄膜,係經由以惰性氣體沖洗反應室丨丨,歷經〇.1秒與 100秒之間,移除沒有必要反應物,同時保持處理溫度與壓 力而形成。在第三次沖洗反應室之後,藉由進一步進行注 入與沖洗未含有氫氧根之第二種反應物之步驟,能夠防止 第三種反應物與第一種反應物反應至最高程度。 然後,檢查在基板上形成之金屬氧化物薄膜之厚度是石 適當,例如在10Α與ι,〇〇〇Α之間(步驟37)。當金屬氧化物薄 膜 &lt; 厚度適當時,即完成形成金屬氧化物薄膜之步驟。去 至屬氧化物薄膜不夠厚時,則循環地重複此等步驟,從、、主 -24- 本纸張尺度_標準(CN$A4規格⑽χ 297公董 C請先閱讀背面之注意事項寫本頁} 裝---·-----訂--------- 經濟部智慧財產局員工消費合作社印製 515032 A7 ---B7_ 五、發明說明(22 ) 入第一種反應物至反應室中之步驟,至第三次沖洗反應室 之步驟(步驟35)。 圖23A至23D係説明當氧化鋁薄膜根據本發明之第二個具 體實施例,使用ALD法藉由形成薄膜之方法·.製成時,被吸 附於基板上之反應物間之結合關係。將基板15,例如碎基 板’以氧溫流,因此使基板15之懸垂鍵結與氧結合,如圖 23A中所示。因此,意即,在氧可結合至基板15之任何位 置處,氧係結合至基板表面,如圖23A中所示。若無必要 ,則基板15可不經氧溢流。 在將第一種反應物三甲基鋁(A1(CH3)3)注入反應室中之後 ,以氬氣沖洗反應室,其處理溫度係被保持在丨⑻與4〇(rc 之間,且其處理壓力係被保持在i與10,000毫托之間。經由 如此進行,只有被化學吸附至經氧溢流基板之第一種反應 物仍然留下,如圖6中所示。意即,不同形式之鍵結,譬 如Si-0、Si-0-CH3及Si-0-Al-CH3,於矽基板上形成。 將未包含氫氧根之第二種反應物,譬如Ν2〇、〇2、〇3或 C〇2,注入反應室11中。例如,當使用ν2〇作爲第二種反應 物時,反應係按下述進行。 2 A1(CH3 )3 + 3 Ν2 Ο Al2 〇3 + A1(CH3 )3 + 3 C2 H6 + 3N2 T ...(5) 如化學反應式1中所示,在將未含有氫氧根之ν2 〇注入三 甲基鋁之中時,三甲基鋁即被消耗,並形成Al2〇3。換言之 ’被化學吸附之第一種反應物係與第二種反應物反應。因 此,第一種反應物係以化學方式交換,以進一步幫助金屬-氧原子層薄膜形成,如圖23C中所示。意即,si-〇-Al-〇形式 -25- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項翔填寫本頁) -裳---·-----訂---I-----— 經濟部智慧財產局員工消費合作社印製 515032 A7SrRu 03 film, CaRu 03 film, (Ba, Sr) Ti 03 film, pb (Zr, Ti) 03 film, (Pb, La) (Zr, Ti) 03 film, (Sr, Ca) Ru 〇3 film, (Ba, Sr) Ru〇3 film, doped Sn 1η2 03 (ΓΓΟ) film and Zr doped film are other examples of metal oxide films that can be produced according to the present invention. Complete a cycle (step 35), in which the metal oxide film in atomic layers is flushed through the reaction chamber with an inert gas, and between 0.1 seconds and 100 seconds, the unnecessary reactants are removed, and It is formed while maintaining the processing temperature and pressure. After the reaction chamber is flushed for the third time, by further performing the steps of injecting and flushing the second reactant which does not contain hydroxide, the third reactant can be prevented from reacting with the first reactant to the maximum extent. Then, it is checked whether the thickness of the metal oxide thin film formed on the substrate is appropriate, for example, between 10A and 100,000, (step 37). When the metal oxide film &lt; has a proper thickness, the step of forming the metal oxide film is completed. When the oxide film is not thick enough, repeat these steps cyclically, from the master, the master, the master paper, the paper size _ standard (CN $ A4 specification ⑽χ 297 public director C, please read the notes on the back first to write a copy Page} --- · ----- Order --------- Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 515032 A7 --- B7_ V. Description of Invention (22) Enter the first reaction The steps from the material to the reaction chamber, to the third flushing of the reaction chamber (step 35). Figures 23A to 23D illustrate when an alumina film is formed according to a second embodiment of the present invention using the ALD method. Method ·. At the time of manufacture, the binding relationship between the reactants adsorbed on the substrate. The substrate 15, such as a broken substrate, flows at the temperature of oxygen, so that the dangling bond of the substrate 15 is combined with oxygen, as shown in FIG. 23A. Therefore, it means that at any position where oxygen can be bonded to the substrate 15, the oxygen system is bonded to the surface of the substrate, as shown in FIG. 23A. If it is not necessary, the substrate 15 may not overflow with oxygen. After a reactant trimethylaluminum (A1 (CH3) 3) is injected into the reaction chamber, the reaction chamber is flushed with argon and its treatment is performed. The degree is maintained between ⑻ and 40 (rc), and the processing pressure is maintained between i and 10,000 mTorr. By doing so, only the first reaction that is chemically adsorbed to the oxygen overflow substrate Objects remain, as shown in Figure 6. This means that different forms of bonding, such as Si-0, Si-0-CH3, and Si-0-Al-CH3, are formed on a silicon substrate. It will not contain hydrogen The second reactant of the oxygen radical, such as N20, O2, O3, or Co2, is injected into the reaction chamber 11. For example, when v2O is used as the second reactant, the reaction proceeds as follows. 2 A1 (CH3) 3 + 3 Ν2 〇 Al2 〇3 + A1 (CH3) 3 + 3 C2 H6 + 3N2 T ... (5) As shown in Chemical Reaction Formula 1, ν2 which does not contain hydroxide 〇 When injected into trimethylaluminum, trimethylaluminum is consumed and Al203 is formed. In other words, the first reactant system that is chemisorbed reacts with the second reactant. Therefore, the first reaction The system is chemically exchanged to further help the formation of the metal-oxygen atomic layer thin film, as shown in Figure 23C. That is, the si-〇-Al-〇 form-25- This paper is applicable to China Standard (CNS) A4 (210 X 297 mm) (Please read the phonetic on the back? Matt Xiang fill in this page) -Shang --- · ----- Order --- I -----— Economy Printed by the Ministry of Intellectual Property Bureau's Consumer Cooperatives 515032 A7

之鍵結,係於矽基板上形成。 於反應室中注入第三種反應物(譬如水蒸汽)後,將反應 1:以氬氣沖洗。經由如此進行,未與第二種反應物反應之 第一種反應物,會與第三種反應物反應,且.·被改變而形成 金屬-氧原子層,如圖23D中所示。此時,係形成以原子層 爲單位之金屬-氧化物薄膜,其中氫氧化物之產生係被抑制 ,因爲可採用之第一種反應物量,係由於先前使未包含氫 氧根之第二種反應物與第一種反應物反應而被降低。 現在詳細描述,用以形成以原子層爲單位之氧化鋁薄膜 ,而其中氫氧化物之絕對量很少之方式。 發明人已發現,當氧化鋁薄膜藉由習用ALD法形成時, 不想要之副產物Al(OH)3係經由以化學反應式2表示之反應 ,被包含在氧化鋁薄膜中。爲尋找副產物A1(〇h)3,本案發 明人係進行藉由習用ALD法形成之氧化鋁薄膜之射線光 電子光譜學(XPS)分析。 圖24爲藉由習用ALD法形成之氧化鋁薄膜之射線光電 子光譜學(XPS)圖形。於圖24中,X軸表示結合能,而γ軸 表示電子計數,以任意單位表示。 經濟部智慧財產局員工消費合作社印制取 應注意的是,在藉由習用ALD法形成之氧化鋁薄膜吸收 峰中,當曲線彼此重疊,以約略535.1 eV爲中心時,曲線b 之右側比曲線a之右側少許較寬。換言之,藉由習用ALD法 形成之氧化鋁薄膜,顯示圖形⑼具有之寬度,係比純氧化 銘薄膜之圖形⑻寬廣,因爲ai(oh)3被包含在藉由習用方法 形成之薄膜中。 -26- 本紙張尺 1適用中國國家標準(CNS)A4規格(210 X 297公爱) &quot; ' 515032 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(24 ) 就上文而論,當三甲基鋁直接與水蒸汽,以例如習用技 術反應時,大量含有氫氧根之A1(0H)3係經由以化學反應式 2表示之反應產生。因此,爲降低A1(〇H)3之量,會與水蒸 Ά反應之二甲基铭之,纟巴對量必須降低。於本·’發明中,由於 三甲基链之絕對量因爲三甲基鋁與未含有氫氧根之n2〇反 應而被降低,然後使所留下未反應之三甲基鋁與水蒸汽反 應,故形成具有很少氫氧化物絕對量之以原子層爲單位之 氧化鋁薄膜。 圖25A與25B爲圖表,顯示個別藉由習用方法與本發明第 二個具體實施例製成之氧化鋁薄膜之漏電流特徵。漏電流 特徵係經由將此氧化鋁薄膜施用至電容器進行研究。使用 多曰曰石夕薄膜作爲電容器之下方電極與上方電極。在圖25八 與25B中,第一條曲線a與c係表示當下方電極連接至地線 ,且對上方電極施加0與5 V間之電壓時,度量一個單元電 流量之結果,其係流經介電薄膜。第二條曲線b與d係表示 在與進行第一次度量相同之條件下,於第一次度量後,度 量一個單元電流量之結果,其係流經介電薄膜。如圖 中所示,當使用藉由本發明形成之氧化鋁薄膜作爲介電薄 月吴時,在特定電壓下,例如2V,與圖25A之習用情況比較 丄在相同電壓下,前者之漏電流較小,且在第一條曲線與 第二條曲線間之距離很短。因此,發現漏電流特徵藉本笋 明改良。 Λ 具體 f 圖26馬根據本發明第三個具體實施例,使用ald法形成 27- (210 X 297^1 ) r 裝---·-----訂---------— (請先閱讀背面之注意事寫本頁) 515032 五、發明說明(25 ) 溥膜方=之流程圖。圖27爲計時圖,顯示根據本發明第三 個八把貝她例,在使用ALD法形成薄膜期間之反應物供應 。於下文説明中,氧化銘薄膜之形成係取爲㈣。 。 Λ板(其可切基板)之懸垂鍵結,係、使用.·氧化或氮化用 仏’經由氧或氮溢流基板15而被封端(步驟41)。意即, 在乳可結合至基板(其可爲矽基板)之任何位置處,使用氧 化或氮化用氣體,經由氧或氮溢流基板15,使氧妹人至基 板。氧或氮溢流不僅可使用圖3中所示之可形成原 月吴(裝置進行,而且可使用其他裝置進行。再者,縣垂鍵 結可與氧或氮結合,意即在氧或氮可結合至基板之任何位 置處,氧或氮係結合至基板,其不僅進行氧或氛溢流,而 且^臭氧清除,及形成氧化石夕薄膜與氮化石夕薄膜。此氧 或氮)ϋ流可能不是必要的。 於反應1: 11中裝載基板15之後,使用加熱器(未示出)與 泵丨9,將反應室11之處理溫度保持在100與40(rc之間,較佳 係在300與3筑之間,並將反應室u之處理溫度保持在^ 10,000毫托之間(步驟43)。處理條件係在連續步驟中保持著 ’但是’若必要其可以改變。 藉由打開第一個閥V;[,將第一種反應物,譬如三甲基鋁 (A1(CH3)3 : TMA),經過氣體入口管A與簇射頭17注入二】· 室11中,歷經足夠長時間,以覆蓋基板表面,例如在i毫 秒與ίο秒之間,同時保持處理條件(步驟45)。經由如此進 行,使第一種反應物化學吸附至經氧或氮溢流之矽基板上= 籍由惰性氣體,譬如氬氣,第一次沖洗反應室Π,歷經 _ -28- 本紙張尺度適用中關家標準(CNS)A4規格⑵〇 X 297公爱 515032 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(26 0.1與100秒之間,其方式是選擇性地打開第二個閥V2,同 時保持處理條件(步驟47)。經由如此進行,移除僅以物理 方式沉積於基板15上之任何第一種反應物。 藉由打開第三個閥(V3),將第二種反應物.·,例如氧化用 氣體,其具有優越氧化能力,例如水蒸汽,經過簇射頭17 注入反應室11中,同時保持處理條件(步驟49)。 在如此進行時,被化學吸附之第一種反應物會與第二種 反應物反應,形成以原子層爲單位之薄膜,意即氧化鋁薄 膜係藉由化學交換形成。意即,TMA之CH3係與叫〇之Η反 應,因此形成CH4,其係被移除。ΤΜΑ之Μ係與η2〇之〇反 應,因此形成八丨2〇3。由於此原子層薄膜係在4〇(fc或較低之 溫度下形成,這是很低的,故TMA並未完全被分解。因此 ,大量雜質,譬如碳或OH,會在氧化鋁薄膜中形成鍵結。 未與第一種反應物反應,且僅物理吸附在基板15上之任 何第二種反應物,係經由第二次以惰性氣體,譬如氬氣, 將反應室11沖洗㈦與⑽秒之間,同時保持處理條件,而 被移除(步驟51)。 將用以移除雜質及改良薄膜化學計量之第三種反應物, 例如氧化用氣體,譬如臭氧,經過第四個閥%與簇射頭注 入反應室中,歷經足夠長時間,以覆蓋其上已形成薄膜之 基板表面,例如在1毫秒與10秒之間(步驟53)。經由如此進 订,能夠移除已結合至且包含在以原子層爲單位之薄膜中 之雜質,譬如碳或0H,並解決氧化㈣膜中具有氧缺乏之 問題。因此,能夠獲得具有優越化學計量之薄膜。 (請先閱讀背面之注意事項翔填寫本頁) 1· • ϋ ϋ ,nThe bond is formed on a silicon substrate. After injecting a third reactant (such as water vapor) into the reaction chamber, the reaction 1: flush with argon. By doing so, the first reactant which has not reacted with the second reactant will react with the third reactant, and is changed to form a metal-oxygen atom layer, as shown in Fig. 23D. At this time, a metal-oxide thin film is formed in units of atomic layers, in which the generation of hydroxides is suppressed, because the amount of the first reactant that can be used is due to the fact that the second The reactant is reduced by reacting with the first reactant. A detailed description will now be given of a method for forming an aluminum oxide film in atomic layer units in which the absolute amount of hydroxide is small. The inventors have found that when an alumina film is formed by a conventional ALD method, an unwanted by-product Al (OH) 3 is contained in the alumina film via a reaction represented by Chemical Reaction Formula 2. In order to find the by-product A1 (0h) 3, the inventor of the present case performed a ray photoelectron spectroscopy (XPS) analysis of an alumina film formed by a conventional ALD method. Fig. 24 is a ray photon spectroscopy (XPS) pattern of an alumina film formed by a conventional ALD method. In Fig. 24, the X-axis represents the binding energy, and the γ-axis represents the electronic count, expressed in arbitrary units. It should be noted that printed by the consumer cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs, in the absorption peaks of alumina films formed by the conventional ALD method, when the curves overlap each other and are approximately 535.1 eV as the center, the right side of the curve b is more than the curve The right side of a is slightly wider. In other words, the alumina film formed by the conventional ALD method has a wider display pattern than that of the pure oxide film, because ai (oh) 3 is included in the film formed by the conventional method. -26- This paper ruler 1 is in accordance with Chinese National Standard (CNS) A4 (210 X 297 public love) &quot; '515032 A7 B7 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs 5. Description of the invention (24) On the other hand, when trimethylaluminum reacts directly with water vapor, for example, by conventional techniques, a large amount of hydroxide-containing A1 (0H) 3 is generated through a reaction represented by Chemical Reaction Formula 2. Therefore, in order to reduce the amount of A1 (OH) 3, which is the dimethyl group that will react with water, the amount of barium must be reduced. In this invention, the absolute amount of the trimethyl chain is reduced because trimethylaluminum reacts with n20 which does not contain hydroxide, and then the remaining unreacted trimethylaluminum is reacted with water vapor. Therefore, an aluminum oxide film having an atomic layer as a unit having a small absolute amount of hydroxide is formed. Figures 25A and 25B are graphs showing the leakage current characteristics of alumina films made by conventional methods and the second embodiment of the present invention. The leakage current characteristics were investigated by applying this alumina film to a capacitor. Use the Shi Xi film as the lower and upper electrodes of the capacitor. In Figures 25A and 25B, the first curves a and c represent the results of measuring a unit current when the lower electrode is connected to the ground and a voltage between 0 and 5 V is applied to the upper electrode. Via a dielectric film. The second curve b and d represent the results of measuring a unit current amount after the first measurement under the same conditions as the first measurement, which flowed through the dielectric film. As shown in the figure, when the alumina film formed by the present invention is used as the dielectric thin film, the specific current, such as 2V, is compared with the conventional case in FIG. 25A. At the same voltage, the former has a lower leakage current. Is small and the distance between the first curve and the second curve is short. Therefore, it has been found that the leakage current characteristics are improved by this study. Λ Specific f Fig. 26 According to the third specific embodiment of the present invention, 27- (210 X 297 ^ 1) r is formed using the ald method. — (Please read the note on the back to write this page first) 515032 V. Description of the invention (25) Flow chart of the film side =. Fig. 27 is a timing chart showing the reactant supply during the formation of a thin film using the ALD method according to the third eight-barreled beta case according to the present invention. In the following description, the formation of the oxide film is referred to as rhenium. . The dangling bond of the Λ plate (which can cut the substrate) is tied and used. For oxidation or nitridation 经由 'is capped through the oxygen or nitrogen overflow substrate 15 (step 41). That is, at any position where milk can be bonded to a substrate (which may be a silicon substrate), an oxygen or nitrogen gas is used to overflow the substrate 15 through the oxygen or nitrogen to bring the oxygen sister to the substrate. The overflow of oxygen or nitrogen can be performed not only using the device that can form the original moon shown in Figure 3, but also using other devices. Furthermore, the county vertical bond can be combined with oxygen or nitrogen, meaning that the oxygen or nitrogen It can be bonded to any position of the substrate. Oxygen or nitrogen is bonded to the substrate, which not only performs oxygen or atmospheric overflow, but also removes ozone, and forms oxide stone film and nitride stone film. This oxygen or nitrogen) flow May not be necessary. After loading the substrate 15 in the reaction 1:11, the heater (not shown) and the pump 9 are used to keep the processing temperature of the reaction chamber 11 between 100 and 40 (rc, preferably between 300 and 3). And maintaining the processing temperature of the reaction chamber u between ^ 10,000 mTorr (step 43). The processing conditions are maintained in successive steps' but can be changed if necessary. By opening the first valve V; [Injecting the first reactant, such as trimethylaluminum (A1 (CH3) 3: TMA), through the gas inlet pipe A and the shower head 17] into the second] · the chamber 11, which has been long enough to cover the substrate The surface, for example, between i milliseconds and ίο seconds, while maintaining the processing conditions (step 45). By doing so, the first reactant is chemically adsorbed on the silicon substrate overflowed with oxygen or nitrogen = by an inert gas, For example, argon, flush the reaction chamber Π for the first time, _ -28- This paper size applies the Zhongguanjia Standard (CNS) A4 specification ⑵〇X 297 Public Love 515032 A7 B7 Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 2. Description of the invention (between 26 0.1 and 100 seconds, the way is to selectively open the second Valve V2 while maintaining processing conditions (step 47). By doing so, any first reactant that is only physically deposited on substrate 15 is removed. By opening the third valve (V3), the second Reactants. · For example, oxidation gas, which has superior oxidizing capacity, such as water vapor, is injected into the reaction chamber 11 through the shower head 17 while maintaining the processing conditions (step 49). One reactant reacts with the second reactant to form a thin film in atomic layers, which means that the alumina film is formed by chemical exchange. That is, the CH3 system of TMA reacts with the thorium called 〇, and thus forms CH4, its system was removed. The M of TMA reacts with η2〇〇, thus forming 丨 2203. Since this atomic layer thin film is formed at 40 ° C or lower, it is very low Therefore, TMA is not completely decomposed. Therefore, a large amount of impurities, such as carbon or OH, will form bonds in the alumina film. It does not react with the first reactant and is only physically adsorbed on any of the first substrate 15 Two reactants The reaction chamber 11 is flushed with inert gas, such as argon, for between ㈦ and ㈦ seconds, while maintaining the processing conditions, and is removed (step 51). A third reaction to remove impurities and improve the film stoichiometry Materials, such as oxidizing gases, such as ozone, are injected into the reaction chamber through the fourth valve% and the shower head, and have sufficient time to cover the surface of the substrate on which the film has been formed, for example, between 1 millisecond and 10 seconds. (Step 53) By doing so, it is possible to remove impurities, such as carbon or 0H, that have been bound to and contained in the film in atomic layer units, and solve the problem of oxygen deficiency in the hafnium oxide film. Therefore, it is possible to Obtain thin films with superior stoichiometry. (Please read the notes on the back first and fill in this page) 1 · • ϋ ϋ, n

----訂--------I -29- 515032 A7 B7_ 五、發明說明(27 ) 形成以原子層爲單位之薄膜之一次循環,係以下述方式 達到完成,第三次以惰性氣體沖洗反應室11,歷經0.1與 100秒之間,同時保持處理條件,因此移除未反應之經物理 吸附之第三種反應物(步驟55)。 “ 檢查在基板上形成之以原子層爲單位之薄膜厚度是否適 當,例如在10 A與1,000 A之間(步驟57)。當薄膜之厚度適當 時,即完成形成薄膜之製程。當薄膜不夠厚時,循環地重 複此等步驟,從注入第一種反應物之步驟(步驟45)至第三 次沖洗反應室之步驟(步驟55)。 在本具體實施例中,氧化鋁薄膜係使用三甲基鋁(A1(CH3 ·· TMA)作爲第一種反應物,氧化物氣體之水蒸汽作爲第二 種反應物,及用以移除雜質之臭氧氣體作爲第三種反應物 而形成。但是,可使用TiCl4作爲第一種反應物,NH3作爲第 二種反應物,及用以移除雜質及改良薄膜化學計量之氮氣 作爲第三種反應物,形成氮化鈦薄膜。 再者,根據本發明形成原子層薄膜之方法,除了氧化鋁 薄膜或氮化鈦薄膜之外,可形成單一原子氧化物、複合氧 化物、單一原子氮化物或複合氮化物。Ti02,Ta205,Z:r〇2,Hf〇2, Nb2 05,Ce02,Y2 03, Si02,In2 03,Ru〇2 及 Ir〇2,係爲單一原子氧化物 之實例。SrTi03,PbTi03,SrRu〇3,CaRu03,(Ba,Sr)Ti〇3,Pb(Zr,Ti)〇3, (Pb,La)(Zr,Ti)〇3,(Sr,Ca)Ru03,摻雜 Sn 之 In2 03,摻雜 Fe 之 In2 03 及摻 雜Zr之In2 03,係爲複合氧化物之實例。SiN,NbN,ZrN,TiN,TaN, Ya3 N5,A1N,GaN,WN及BN,係爲單一原子氮化物之實例。 WBN,WSiN,TiSiN,TaSiN,AlSiN 及 AlTiN,係爲複合氮化物之實 -30- 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) (請先閱讀背面之注意事填寫本頁) 裝 經濟部智慧財產局員工消費合作社印製 —二 五、發明說明(28 例。 根據本發明,使用ALD法形成薄 可應用於半導體元件。例如,此^_/氣成ο寺艇, 、電定哭 &gt; 兩打 , 此/寺月吴可作爲閘極氧化物層---- Order -------- I -29- 515032 A7 B7_ V. Description of the invention (27) A cycle of forming a thin film in atomic layers is completed in the following manner, and the third time The inert gas flushes the reaction chamber 11 for between 0.1 and 100 seconds while maintaining the processing conditions, so the unreacted third physically reacted reactant is removed (step 55). "Check whether the thickness of the thin film in atomic layers formed on the substrate is appropriate, for example between 10 A and 1,000 A (step 57). When the thickness of the thin film is appropriate, the process of forming the thin film is completed. When the thin film When it is not thick enough, these steps are repeated cyclically, from the step of injecting the first reactant (step 45) to the step of flushing the reaction chamber a third time (step 55). In this embodiment, an alumina film is used Trimethylaluminum (A1 (CH3 ·· TMA) is formed as the first reactant, water vapor of the oxide gas is used as the second reactant, and ozone gas for removing impurities is formed as the third reactant. However, TiCl4 can be used as the first reactant, NH3 as the second reactant, and nitrogen used to remove impurities and improve the film stoichiometry as the third reactant to form a titanium nitride film. Furthermore, according to In the method for forming an atomic layer film of the present invention, in addition to an aluminum oxide film or a titanium nitride film, a single atom oxide, a composite oxide, a single atom nitride, or a composite nitride can be formed. Ti02, Ta205, Z: r〇2 Hf〇2, Nb2 05, Ce02, Y2 03, Si02, In2 03, Ru〇2 and Ir〇2 are examples of single atom oxides. SrTi03, PbTi03, SrRu〇3, CaRu03, (Ba, Sr) Ti 〇3, Pb (Zr, Ti) 〇3, (Pb, La) (Zr, Ti) 〇3, (Sr, Ca) Ru03, In2 03 doped with Sn, In2 03 doped with Fe, and In2 03 doped with Zr In2 03 is an example of a composite oxide. SiN, NbN, ZrN, TiN, TaN, Ya3 N5, A1N, GaN, WN, and BN are examples of a single atom nitride. WBN, WSiN, TiSiN, TaSiN, AlSiN And AlTiN are the actual compound nitride-30. This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 x 297 mm) (Please read the notes on the back first and fill in this page) Install the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by an employee consumer cooperative—25. Description of the invention (28 cases. According to the present invention, the thin film formed by the ALD method can be applied to semiconductor elements. For example, this ^ _ / 气 成 ο Tempo,, Dingding cry &gt; two dozen , This / Si Yuewu can be used as gate oxide layer

I 、在光:1虫刻條止膜、用以防止反··應之罩蓋薄膜 二先,印術製程期間之抗反射薄膜、障壁金屬薄膜、選 擇性 &gt;几積薄膜或金屬閘電極使用。 ’ 二2:d表,顯示根據本發明第三個具體實施例,藉 /成原子層溥膜之方法製成之氧化鋁薄膜 重:此方:步驟循環次數之函數一次循環包括以;步驟 訂 # 種反應物,洗除反應室巾經物理吸 附種反應物’於反應室中注人第二種反應物.,洗除 反應室中經物理吸附之第二種反應物,於反應室中注入第 三種反應物,及洗除反應室中經物理吸附之第三種反應物 。=圖28中所示,根據本發明方法製成之薄膜,由於氧化 銘薄膜每次循環係生長mA之厚度,且氧化銘薄膜之厚 度係與循環次數成比例地以線性方式增加,故氧化鋁薄^ 易於藉由本發明之原子層沉積方法形成。 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 制 一圖29爲一圖表,説明根據本發明之第三個具體實施例, 藉由形成原子層薄膜之方法,製成之氧化鋁薄膜之均句性 。X軸表示九個點之位置:八英吋基板之中心點,在具有 直徑爲1.75英忖之圓周上分隔9〇〇之四個點,及在具有亩巧 爲3.5英吋之圓周上間隔分開9〇0之另外四個點。γ轴表示氧 化銘薄膜之厚度。如圖29中所示,氧化鋁薄膜之均勾性, 在八英吋基板上係爲優越的。 -31 - 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 515032 A7 五、發明說明(29 ) 圖與规爲個別藉由習用技術與根據本 體貫施例用以形成原子層薄膜之方法製成之氧化料/、 一分析銘吸收峰之圖形。明確言之 表 :工轴係表示電子計數。在習用氧化銘·薄膜中,;:; 生大IA1-A丨鍵結,如圖3〇A中所示。在根據本發明之氧化 ^月吴中,幾乎無彻鍵結發生,且⑽鍵結最突出,如 二、仆。因此’應;王意的是,根據本發明氧化銘薄 月吴·^化學計量係爲優越的。 圖31A與31B爲個別藉由習用技術與根據本發明第三個且 體實施例使用ALD法形成薄膜之方法製成之氧化銘薄膜了 利用XPS分析碳吸收峰之圖形。明確言之,⑽ 人 ,,而Y軸係表示電子計數。在習用氧化料膜中,顯示 碳吸收峰,如圖31A,其係意謂大量碳被包含在氧化鋁薄 腠中。在根據本發明之氧化銘薄膜中,無碳吸收峰顯示於 囷 中口此’根據本發明能夠獲得氧化銘薄膜,其中 雜質’譬如碳,係爲降低。 1四個具體膏访^ 圖32爲根據本發明第四個具體實施例,形成原子層薄膜 方法足泥程圖。於圖32中,與圖22相同之參考數字,係表 示相同步驟。 消 頁 严本發明之第四個具體實施例,係爲第二個具體實施例與 第三個具體實施例之組合。明確言之,第四個具體實施例 係與第二個及第三個具體實施例相同,惟在注入第四種反 應物後,將反應室沖洗第四次(步驟36b),該第四種反應物 -32- 515032 經濟部智慧財產局員工消費合作社印製 五、發明說明(30 ) ^如氧化用氣體’譬如臭氧氣體,用以移除雜質及改良薄 膜之化子片里’經過第三個閥與簇射頭17進入反應室中 ’歷經足夠長時間以覆蓋其上已形成薄膜之基板表面,例 如在1笔移與10秒之間,類似第三個具體實·’施例(步驟36a) ’及第二個具體實施例中第三次沖洗反應室後之情況。 、二由+此進行’能夠移除被包含在以原子層爲單位之金 屬氧化物薄膜中之雜質,譬如經結合之碳或OH鍵結,及解 決金屬氧化物薄膜中缺乏氧之問題,因此獲得高度純薄膜 。換言t ’根據本發明能夠獲得所要品質之薄膜,並使雜 貝治度降至取低’其方式是在注入主要反應物之前或之後 ,增加其互相反應之幾率。因此,主要反應物以外之薄膜 雜%可被移除’且薄膜品質可經由完全反應而被改良,以 藉由根據本發明之原子層沉積(ALD)法,形成薄膜。 如上述’在根據本發明具體實施例,使用ALD法形成薄 膜之方法中’第一種反應物A之配位體係由於結合能上之 差兴而被分離’無需從第二種反應物B移動基團至第一種 反應物A °揮發性氣相物質係經由配位體之結合而形成, 並藉由沖洗移除氣相物質。因此,根據本發明使用ALD法 形成薄膜之方法,由於不會發生基團移動,故能夠降低因 爲次反應而在薄膜中產生之雜質。 在根據本發明另一個具體實施例,使用ALD法形成金屬 氧化物薄膜之方法中,能夠防止副產物,譬如氫氧化物, 在金屬氧化物薄膜中產生,其方式是降低第一種反應物之 絕對量,其係經由先使第一種反應物與未含有氫氧根之第 33- 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) VI裝 (請先閱讀背面之注意事項 寫本頁) 0 I ϋ ί§I. In the light: 1 insect-cut film, anti-reaction cover film. Second, anti-reflection film, barrier metal film during printing process, selectivity> several product film or metal gate electrode use. 'Table 2: d shows the alumina thin film made by the method of atomic layer ytterbium according to the third embodiment of the present invention. Weight: this side: the function of the number of step cycles is included in one cycle; # Kinds of reactants, wash the reaction chamber towel and physically adsorb the reactants, and inject the second reactant in the reaction chamber. Wash the second reactants physically adsorbed in the reaction chamber, and inject them into the reaction chamber. The third reactant, and the third reactant that has been physically adsorbed in the reaction chamber is washed away. = As shown in FIG. 28, for the film made according to the method of the present invention, since the oxide film grows the thickness of mA per cycle, and the thickness of the oxide film increases linearly in proportion to the number of cycles, alumina The thin layer is easily formed by the atomic layer deposition method of the present invention. Printed by the Consumer Affairs Bureau of the Intellectual Property Agency of the Ministry of Economic Affairs, X Consumer Co., Ltd. Fig. 29 is a diagram illustrating the uniformity of the alumina film produced by the method of forming an atomic layer film according to the third embodiment of the present invention. The X axis represents the position of nine points: the center point of an eight-inch substrate, four points separated by 900 on a circle having a diameter of 1.75 inches, and spaced apart on a circle having a width of 3.5 inches. The other four points of 900. The γ-axis represents the thickness of the oxide film. As shown in FIG. 29, the uniformity of the alumina film is superior on an eight-inch substrate. -31-This paper size is in accordance with China National Standard (CNS) A4 specification (21 × 297 mm) 515032 A7 V. Description of the invention (29) The drawings and specifications are individually formed by conventional techniques and according to the implementation of the ontology. An oxide material made by the atomic layer method. An analysis of the absorption peak pattern. To put it plainly: The axis system represents electronic counting. In the conventional oxidized film ·, the IA1-A 丨 bond is formed, as shown in FIG. 30A. In the oxidation process according to the present invention, almost no complete bonding occurs, and the erbium bond is the most prominent, such as II. Therefore, what should be said is that according to the present invention, the oxidized thin film is superior. Figs. 31A and 31B are graphs of an analysis of carbon absorption peaks using XPS, each of which is an oxide film formed by a conventional technique and a method of forming a film using an ALD method according to a third embodiment of the present invention. To be clear, ⑽, and the Y axis represents electronic counting. In the conventional oxide film, a carbon absorption peak is shown, as shown in Fig. 31A, which means that a large amount of carbon is contained in the aluminum oxide thin film. In the oxidized oxide film according to the present invention, a carbon-free absorption peak is shown at 囷 in the mouth. According to the present invention, an oxidized oxide film can be obtained, in which impurities such as carbon are reduced. 1 Four Specific Pasts ^ Figure 32 is a schematic diagram of a method for forming an atomic layer film according to a fourth embodiment of the present invention. In Fig. 32, the same reference numerals as in Fig. 22 indicate the same steps. The fourth embodiment of the present invention is a combination of the second embodiment and the third embodiment. Specifically, the fourth embodiment is the same as the second and third embodiments, but after the fourth reactant is injected, the reaction chamber is flushed a fourth time (step 36b), the fourth Reactant-32- 515032 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs. 5. Description of the invention (30) ^ If oxidation gas is used, such as ozone gas, it is used to remove impurities and improve the film. The valves and the shower head 17 enter the reaction chamber 'for a sufficient time to cover the surface of the substrate on which the film has been formed, for example, between 1 stroke and 10 seconds, similar to the third specific embodiment.' Example (steps 36a) 'and the situation after the third flushing of the reaction chamber in the second embodiment. Two, + by this' can remove impurities contained in the metal oxide film in atomic layer units, such as bonded carbon or OH bonds, and solve the problem of lack of oxygen in the metal oxide film, so A highly pure film is obtained. In other words, t 'according to the present invention, it is possible to obtain a thin film of a desired quality and reduce the impurity degree to a low level' by increasing the probability of mutual reaction before or after the main reactant is injected. Therefore, the impurity percentage of the thin film other than the main reactant can be removed 'and the quality of the thin film can be improved through complete reaction to form a thin film by the atomic layer deposition (ALD) method according to the present invention. As described above, in the method of forming a thin film using the ALD method according to the specific embodiment of the present invention, the coordination system of the first reactant A is separated due to the difference in binding energy, and it is not necessary to move from the second reactant B. The group to the first reactant A ° volatile gaseous substance is formed through the binding of the ligand, and the gaseous substance is removed by washing. Therefore, according to the method for forming a thin film using the ALD method according to the present invention, since no group movement occurs, it is possible to reduce impurities generated in the thin film due to secondary reactions. In another embodiment of the present invention, in the method for forming a metal oxide film by using the ALD method, by-products, such as hydroxides, can be prevented from being generated in the metal oxide film by reducing the amount of the first reactant. Absolute amount, it is made by firstly reacting the first reactant with the 33th-does not contain hydroxyl radicals. This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm) VI pack (please read the back first) (Notes on this page) 0 I ϋ ί§

ϋ n J I 一-口、I 1 - I I ϋ ·ϋ n i.— I #- 515032 A7 ------- B7 五、發明說明(31 ) 二種反應物反應,然後使該第一種反應物與含有氫氧根之 第三種反應物反應。例如,藉由降低三甲基鋁之絕對量, 能夠形成其中氫氧化物之絕對量很少之氧化鋁薄膜,其方 式是先使三甲基鋁與未含有氫氧根之Ν2〇反·應,然後使該 二甲基銘與水蒸汽反應。 而且,在根據本發明另一個具體實施例,使用ALD法形 成薄膜之方法中,係將用以移除雜質及改良薄膜化學計量 之第三種反應物,注入反應室中,及當使用原子層沉積方 法時’洗除反應室中之第三種反應物。經由如此進行,能 夠獲得未含有雜質而具有優越化學計量之薄膜。 --------------裝 (請先閱讀背面之注意事項寫本頁}ϋ n JI one-mouth, I 1-II ϋ · ϋ n i.— I #-515032 A7 ------- B7 V. Description of the invention (31) Two reactants react, and then the first The reactant reacts with a third reactant containing hydroxide. For example, by reducing the absolute amount of trimethylaluminum, an aluminum oxide film in which the absolute amount of hydroxide is small can be formed by first reacting trimethylaluminum with N2O that does not contain hydroxide. And then react the dimethylamine with water vapor. Moreover, according to another embodiment of the present invention, in the method for forming a thin film using the ALD method, a third reactant for removing impurities and improving the stoichiometry of the thin film is injected into the reaction chamber, and when an atomic layer is used, In the deposition method, the third reactant in the reaction chamber is washed away. By doing so, it is possible to obtain a thin film having excellent stoichiometry without containing impurities. -------------- Install (Please read the precautions on the back to write this page)

-ϋ I n ϋ^δν · ϋ I n 1_1 ·ϋ n I #· 經濟部智慧財產局員工消費合作社印製 -34- 本紙張尺度適用中國國家標準(cns)a4規格(210 χ 297公釐)-ϋ I n ϋ ^ δν · ϋ I n 1_1 · ϋ n I # · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs -34- This paper size applies to the Chinese National Standard (cns) a4 (210 χ 297 mm)

Claims (1)

A B c D 第8912〇770號專利申請案 中文申請專利範圍修正本(90年11月) 六、申請專利範圍A B c D Patent Application No. 8912〇770 Amendment of Chinese Patent Application Scope (November 1990) 6. Scope of Patent Application 1. 一種使用原子層沉積(ΑΪ3)法形成薄膜之方法,此方法 包括以下步驟: 於包含基板之反應室中’注入第一種反應物,其包 含形成該薄膜之原子與配位體,因此第一種反應物係 被化學吸附於基板上; 經由以惰性氣體沖洗反應室,移除僅被物理吸附於 # 基板上之任何第一種反應物;及 兮 藉由用以形成該薄膜之原子與第二種反應物間之化 ; 學反應,形成以原子層為單位之薄膜,其方式是於反 ’: 應室中注入由〇2等離子或n2o等離子所組成之第二種反 j: 應物’並移除該配位體,而不會產生副產物。 1 2·根據申請專利範圍第1項之方法,其中第一種反應物為 I A1(CH3 )3。 | 3.根據申請專利範圍第丨項之方法,其進一步包括在注入 | 第二種反應物之步驟後,經由以惰性氣體沖洗腔室, ί 以移除任何經物理吸附之第二種反應物之步驟。 4.根據申請專利範圍第3項之方法,其中之步驟,從注入 弟一種反應物之步驟,至移除任何經物理吸附之第二 種反應物之步驟’係重複多次,按需要而定。 5· —種使用ALD法形成薄膜之方法,其包括以下步驟: 於包含基板之反應室中,注入由一金屬反應物所組 成之第一種反應物,因此第一種反應物係以化學方式 被吸附於基板上; 經由以惰性氣體沖洗反應室,移除僅被物理吸附於 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 申清專利範圍 基板上之任何第一種反應物; 經由在反應室中注入未含有氫氧根並包含N2〇、 〇2、或co2之第二種反應物,以化學方式交換被化學吸 附之第一種反應物,以形成金屬-氧原子層薄膜; 經由以惰性氣體沖洗反應室,移除任何經物理吸附 之第二種反應物;及 形成以原子層為單位之金屬氧化物薄膜,同時防止 氫氧化物產生,其方式是在反應室中注入由一氧化氣 體所組成之第三種反應物,因此以化學方式交換其餘 被化學吸附之第一種反應物,以進一步幫助金屬-氧原 子層之形成。 6·根據申請專利範圍第5項之方法,其中反應室之溫度係 被保持在100與400°C之間,從注入第一種反應物之步驟 至注入第三種反應物之步驟。 7·根據申請專利範圍第5項之方法,其中金屬氧化物薄膜 係選自包括八丨2〇3薄膜、Ti〇2薄膜、&amp;02薄膜、Hf02薄 膜、Ta2 05薄膜、Nb2 05薄膜、Ce〇2薄膜、Y2 〇3薄膜、 Si〇2薄膜、1¾ 〇3薄膜、ru〇2薄膜、Ir〇2薄膜、SrTi〇3薄 膜、PbTi03 薄膜、SrRu03 薄膜、CaRu03 薄膜、(Ba,Sr)Ti03 薄膜、Pb(Zr,Ti)03 薄膜、(Pb,La)(Zr,Ti)〇3 薄膜、(Sr,Ca)Ru〇3 薄 膜、(Ba,Sr)Ru03薄膜、摻雜SntIn2〇3(IT〇)薄膜及摻雜Zr 之12 〇3薄膜。 8.根據申請專利範圍第5項之方法,其中當基板為矽基板 時,基板表面之懸垂鍵結,係在注入第一種反應物之 -2- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公董) M5032 A8 B8 C8 p:~~-----_ 六、申請專利範圍 -- 前,藉由注入氧化用氣體而被封端。 9·根據中請專利範圍第5項之方法,其進_步包括在反應 室中注入第三種反應物之步驟後,經由以惰性氣體沖 洗反應室,以移除任何經物理吸附之第三種反應物之步 驟。 〜 10·根據申請專利範圍第9項之方法,其中之步驟,從注入 第一種反應物之步驟,至移除任何經物理吸附之第三 種反應物之步驟,係重複多次,按需要而定。 11 ·根據申凊專利範圍第9項之方法,其進一步包括在移除 經物理吸附之第三種反應物之步驟後,於反應室中注 入用以移除雜質及改良金屬氧化物薄膜化學計量之第 四種反應物之步驟。 12.根據申請專利範圍第丨丨項之方法,其中第四種反應物為 臭氧氣體。1. A method for forming a thin film using an atomic layer deposition (ΑΪ3) method, the method includes the following steps: 'Inject a first reactant into a reaction chamber including a substrate, which includes atoms and ligands forming the thin film, so The first reactant is chemically adsorbed on the substrate; by flushing the reaction chamber with an inert gas, any first reactant that is only physically adsorbed on the # substrate is removed; and by the atoms used to form the film Chemical reaction with the second reactant; chemical reaction to form a thin film in atomic layers, the method is in the reaction: the reaction chamber is injected with a second reaction consisting of 0 2 plasma or n 2 o plasma 'And remove the ligand without producing by-products. 1 2. The method according to item 1 of the scope of patent application, wherein the first reactant is I A1 (CH3) 3. 3. The method according to item 丨 of the patent application scope, further comprising, after the step of injecting the second reactant, flushing the chamber with an inert gas to remove any physically adsorbed second reactant The steps. 4. The method according to item 3 of the scope of patent application, wherein the steps from the step of injecting one reactant to the step of removing any physically adsorbed second reactant are repeated as many times as necessary . 5. · A method for forming a thin film by using the ALD method, which includes the following steps: A first reactant composed of a metal reactant is injected into a reaction chamber including a substrate, so the first reactant is chemically It is adsorbed on the substrate; By flushing the reaction chamber with an inert gas, it is removed and only physically adsorbed on this paper scale Applies to Chinese National Standard (CNS) A4 specifications (210 X 297 mm) By reacting the second reactant which does not contain hydroxide and contains N20, 〇2, or co2 into the reaction chamber, the first reactant which is chemisorbed is chemically exchanged to form a metal- Oxygen atom layer film; by flushing the reaction chamber with an inert gas to remove any physically adsorbed second reactant; and forming a metal oxide film in atomic layer units, while preventing hydroxide generation, the method is A third reactant composed of monoxide gas is injected into the reaction chamber, so the remaining first reactants chemisorbed are chemically exchanged to further help the gold Formation of genus-oxygen atomic layer. 6. The method according to item 5 of the scope of patent application, wherein the temperature of the reaction chamber is maintained between 100 and 400 ° C, from the step of injecting the first reactant to the step of injecting the third reactant. 7. The method according to item 5 of the scope of patent application, wherein the metal oxide thin film is selected from the group consisting of: 8203 film, Ti02 film, &amp; 02 film, Hf02 film, Ta2 05 film, Nb2 05 film, Ce 〇2 film, Y2 〇3 film, Si〇2 film, 1¾ 〇3 film, ru〇2 film, Ir〇2 film, SrTi〇3 film, PbTi03 film, SrRu03 film, CaRu03 film, (Ba, Sr) Ti03 film , Pb (Zr, Ti) 03 thin film, (Pb, La) (Zr, Ti) 〇3 thin film, (Sr, Ca) Ru〇3 thin film, (Ba, Sr) Ru03 thin film, doped SntIn2O3 (IT〇) ) Film and Zr doped 12 03 film. 8. The method according to item 5 of the scope of patent application, wherein when the substrate is a silicon substrate, the dangling bond on the substrate surface is injecting the first reactant. -2- This paper size applies to Chinese National Standard (CNS) A4. Specifications (21〇X 297 public directors) M5032 A8 B8 C8 p: ~~ -----_ VI. Application scope of patents-Before being sealed by injecting oxidation gas. 9. The method according to item 5 of the patent application, which further includes the step of injecting a third reactant into the reaction chamber, and then flushing the reaction chamber with an inert gas to remove any physically adsorbed third A reactant step. ~ 10. The method according to item 9 of the scope of patent application, wherein the steps, from the step of injecting the first reactant to the step of removing any third reactant physically adsorbed, are repeated as many times as necessary It depends. 11 · The method according to item 9 of the patent scope of Shenyang, which further comprises, after the step of removing the third reactant physically adsorbed, injecting into the reaction chamber to remove impurities and improve the stoichiometry of the metal oxide film The fourth reactant step. 12. The method according to item 丨 丨 of the patent application scope, wherein the fourth reactant is ozone gas. -3- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)-3- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)
TW089120770A 1999-10-06 2000-10-05 Method of forming thin film using atomic layer deposition method TW515032B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR19990043056 1999-10-06
KR19990050902 1999-11-16
KR20000014824 2000-03-23
KR1020000053415A KR100343144B1 (en) 1999-10-06 2000-09-08 Thin film formation method using atomic layer deposition

Publications (1)

Publication Number Publication Date
TW515032B true TW515032B (en) 2002-12-21

Family

ID=27483394

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089120770A TW515032B (en) 1999-10-06 2000-10-05 Method of forming thin film using atomic layer deposition method

Country Status (5)

Country Link
JP (1) JP4700181B2 (en)
CN (1) CN1234909C (en)
DE (1) DE10049257B4 (en)
GB (1) GB2355727B (en)
TW (1) TW515032B (en)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
JP4590744B2 (en) * 2001-01-25 2010-12-01 ソニー株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20040195966A1 (en) 2001-05-14 2004-10-07 Conway Natasha M J Method of providing a layer including a metal or silicon or germanium and oxygen on a surface
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100421219B1 (en) * 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
DE10130936B4 (en) * 2001-06-27 2004-04-29 Infineon Technologies Ag Manufacturing process for a semiconductor device using atomic layer deposition / ALD
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR20090091831A (en) 2001-10-02 2009-08-28 도꾸리쯔교세이호진상교기쥬쯔소고겡뀨죠 Thin metal oxide film and process for producing the same
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3941099B2 (en) * 2001-12-19 2007-07-04 ソニー株式会社 Thin film formation method
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP4908738B2 (en) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー ALD method
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
CN100411116C (en) 2003-01-17 2008-08-13 富士通株式会社 Dielectric film forming method
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4257576B2 (en) 2003-03-25 2009-04-22 ローム株式会社 Deposition equipment
CN1777697B (en) * 2003-04-23 2011-06-22 集勒思公司 Transient enhanced atomic layer deposition
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4399517B2 (en) * 2004-01-05 2010-01-20 株式会社堀場製作所 Film forming apparatus and film forming method
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
JP4778958B2 (en) * 2004-04-15 2011-09-21 エーピーアイ ナノファブリケーション アンド リサーチ コーポレーション Manufacturing method of optical film
US20050275944A1 (en) 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP5025484B2 (en) * 2004-10-26 2012-09-12 アーエスエム インターナショナル エヌ ヴィ Method for depositing lead-containing oxide film
US8721846B2 (en) 2004-11-30 2014-05-13 Tokyo Electron Limited Method of forming film, film forming apparatus and storage medium
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7619816B2 (en) 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100841866B1 (en) * 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 Production method for semiconductor device and substrate processing device
KR100648859B1 (en) 2005-06-07 2006-11-24 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4476880B2 (en) 2005-06-24 2010-06-09 株式会社東芝 Insulating film forming method, semiconductor device manufacturing method, and semiconductor device
US7579285B2 (en) * 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR20070099913A (en) * 2006-04-06 2007-10-10 주성엔지니어링(주) Method of forming oxide and oxide depositing apparatus
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR101160930B1 (en) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 Methods of forming carbon-containing silicon epitaxial layers
JP4228008B2 (en) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
JP4735601B2 (en) * 2007-05-14 2011-07-27 ソニー株式会社 Thin film formation method using atomic layer deposition
JP5221089B2 (en) * 2007-09-19 2013-06-26 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP2009170439A (en) * 2008-01-10 2009-07-30 Panasonic Corp Formation method of gate insulation film
JP2009212303A (en) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc Substrate processing method
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5513767B2 (en) * 2008-06-25 2014-06-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device
KR101451716B1 (en) 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
JP5344873B2 (en) * 2008-08-28 2013-11-20 三菱電機株式会社 Method for manufacturing silicon carbide semiconductor device
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
DE102009022900A1 (en) 2009-04-30 2010-11-18 Osram Opto Semiconductors Gmbh Optoelectronic component and method for its production
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
JP5719138B2 (en) * 2009-12-22 2015-05-13 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing method
JP5693348B2 (en) 2010-05-28 2015-04-01 東京エレクトロン株式会社 Film forming method and film forming apparatus
WO2012014447A1 (en) 2010-07-27 2012-02-02 パナソニック株式会社 Method for fabricating nonvolatile memory device
JP5675458B2 (en) 2011-03-25 2015-02-25 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP5882075B2 (en) 2012-02-06 2016-03-09 東京エレクトロン株式会社 Capacitor manufacturing method, capacitor, and dielectric film forming method used therefor
DE102013100818B4 (en) * 2013-01-28 2023-07-27 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
DE102013103079A1 (en) * 2013-03-26 2014-10-02 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
JP6017361B2 (en) 2013-03-29 2016-10-26 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9685542B2 (en) * 2014-12-30 2017-06-20 Qualcomm Incorporated Atomic layer deposition of P-type oxide semiconductor thin films
CN105668622B (en) * 2015-07-30 2018-01-30 四川大学 A kind of method of gas phase atomic deposition titanium dioxide coating
CN105448742B (en) * 2015-12-30 2019-02-26 东莞市义仁汽车租赁有限公司 The method of gate medium is prepared on a kind of carbofrax material
JPWO2018168241A1 (en) * 2017-03-16 2019-08-08 株式会社村田製作所 Lithium ion secondary battery
CN109423621A (en) * 2017-08-22 2019-03-05 北京北方华创微电子装备有限公司 A kind of novel oxidized al atomic layer precipitation equipment and its deposition method
CN108893727A (en) * 2018-06-19 2018-11-27 南昌大学 A kind of preparation method of gallium nitride/aluminium oxide nano composite corrosion proof coating
CN109545476B (en) * 2018-11-21 2020-07-14 哈尔滨工业大学 Method for improving stability of silver nanowire electrode by atomic deposition of zinc oxide
CN112063991A (en) * 2020-08-10 2020-12-11 西安交通大学 Titanium nitride film and preparation method thereof
CN112614855A (en) * 2020-12-07 2021-04-06 长江存储科技有限责任公司 Preparation method of semiconductor etched hole inner film layer and three-dimensional memory structure
KR102563298B1 (en) 2021-01-18 2023-08-03 주식회사 유진테크 Method for removing impurities in thin film and substrate processing apparatus
JP2024067682A (en) 2022-11-07 2024-05-17 東京エレクトロン株式会社 Semiconductor device and manufacturing method of semiconductor device
CN116082066A (en) * 2022-12-28 2023-05-09 深圳市基克纳科技有限公司 Ceramic matrix-metal film product, preparation method thereof and electronic atomizer

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) * 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
GB2162862B (en) * 1984-07-26 1988-10-19 Japan Res Dev Corp A method of growing a thin film single crystalline semiconductor
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JP2577550B2 (en) * 1986-11-20 1997-02-05 新技術事業団 Impurity doping of III-V compound semiconductor single crystal thin films
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JP2587623B2 (en) * 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JPH0824191B2 (en) * 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JPH042699A (en) * 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
JPH08255795A (en) * 1995-03-15 1996-10-01 Sony Corp Method and apparatus for manufacturing semiconductor
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR19990074809A (en) * 1998-03-14 1999-10-05 윤종용 Thin Film Manufacturing Method
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition

Also Published As

Publication number Publication date
JP2001152339A (en) 2001-06-05
GB2355727B (en) 2004-04-14
JP4700181B2 (en) 2011-06-15
DE10049257B4 (en) 2015-05-13
CN1292431A (en) 2001-04-25
CN1234909C (en) 2006-01-04
GB0024571D0 (en) 2000-11-22
GB2355727A (en) 2001-05-02
DE10049257A1 (en) 2001-04-26

Similar Documents

Publication Publication Date Title
TW515032B (en) Method of forming thin film using atomic layer deposition method
TW516168B (en) Dielectric interface films and methods therefor
TWI263695B (en) Atomic layer deposition of oxide film
TW535288B (en) MFOS memory transistor and method of fabricating same
TW522484B (en) Surface preparation prior to deposition
TWI825224B (en) Reducing gate induced drain leakage in dram wordline
TW504773B (en) MOCVD method of tantalum oxide film
TWI338331B (en) Method for forming tetragonal zirconium oxide layer and method for fabricating capacitor having the same
TWI423334B (en) Ald of zr-substituted batio3 films as gate dielectrics
US6576053B1 (en) Method of forming thin film using atomic layer deposition method
TW480622B (en) Method for manufacturing aluminum oxide film for use in semiconductor device
TWI276700B (en) Atomic layer deposition of nanolaminate film
TWI312542B (en) Atomic layer deposited titanium aluminum oxide films
JP4823260B2 (en) Thin film formation method using atomic layer deposition
TWI338376B (en) Capacitor with zirconium oxide and method for fabricating the same
TWI278529B (en) Hafnium oxide and aluminium oxide alloyed dielectric layer and method for fabricating the same
TW305058B (en)
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
TW482827B (en) Method for nucleation controlled chemical vapor deposition of metal oxide ferroelectric thin films
KR20080011236A (en) Plasma treatment of dielectric material
JP2005537639A (en) System and method for forming metal oxides using alcohols
JP2010506408A (en) ALD of metal silicate film
TW494576B (en) Lead germanate ferroelectric structure with multi-layered electrode and deposition method for same
TW201037768A (en) Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
TWI242812B (en) Method for forming a metal oxide film

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees