JP2009144242A - 低抵抗率タングステン膜の均一性および接着性を高める方法 - Google Patents

低抵抗率タングステン膜の均一性および接着性を高める方法 Download PDF

Info

Publication number
JP2009144242A
JP2009144242A JP2008310322A JP2008310322A JP2009144242A JP 2009144242 A JP2009144242 A JP 2009144242A JP 2008310322 A JP2008310322 A JP 2008310322A JP 2008310322 A JP2008310322 A JP 2008310322A JP 2009144242 A JP2009144242 A JP 2009144242A
Authority
JP
Japan
Prior art keywords
tungsten
reducing agent
substrate
pulse
pulses
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008310322A
Other languages
English (en)
Other versions
JP5376361B2 (ja
JP2009144242A5 (ja
Inventor
Lana Hiului Chan
ヒウルイ チャン、ラナ
Feng Chen
チェン、フェン
Karl B Levy
ビー. リービ、カール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2009144242A publication Critical patent/JP2009144242A/ja
Publication of JP2009144242A5 publication Critical patent/JP2009144242A5/ja
Application granted granted Critical
Publication of JP5376361B2 publication Critical patent/JP5376361B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】低抵抗率を有するタングステン膜の均一性および接着性を高める方法を提供する。
【解決手段】低抵抗率のタングステン膜は、タングステンのバルク層を形成する前に、タングステンの核生成層を一連のパルス状還元剤にさらすことにより形成される。さまざまな実施形態によれば、方法は、異なる流量、異なるパルス時間、および、異なるインターバル時間を有する還元剤パルスを含む。
【選択図】図1B

Description

本発明は、タングステン膜を調製する方法に関する。本発明の実施形態は、電気抵抗率が低く、均一性および接着性共に優れたタングステン薄膜を必要とする集積回路用途に特に役立つ。
化学蒸着法(CVD)を用いてのタングステン膜の堆積は、数多くの半導体製造プロセスの中で必要不可欠な部分である。タングステン膜は、シリコン基板における水平の内部接続、隣接する金属層間ビア、および、第1金属層とデバイスとの間のコンタクトとして形成される低抵抗率の電気的接続として用いられうる。従来のタングステン堆積プロセスでは、ウェハは、真空チャンバ内でプロセス温度まで加熱され、シードまたは核生成層となるタングステン膜の非常に薄い部分が堆積される。その後、タングステン膜の残りの部分(バルク層)が核生成層上に形成される。従来、タングステンバルク層は、六フッ化タングステン(WF6)を水素(H2)で還元することにより成長中のタングステン層上に形成される。タングステンのバルク層は、一般的に、核生成層より速く堆積するが、まず核生成層を形成しなければ、確実に形成することは難しい。
技術の進歩は、タングステンの電気的接続をますます薄くしつつ、非常に低い抵抗率を維持することを要求する。それゆえ、タングステン堆積プロセスによって、超低抵抗率を有するタングステン膜を実現することが重要である。ウェハのサイズを大きくしていきながら歩留まりを向上させるためには、ウェハ全体の被膜特性の均一性も重要である。
均一性に優れ、下位層への接着性が良好な低抵抗率タングステン膜を形成する方法が提供される。低抵抗率のタングステン膜は、タングステンのバルク層を堆積させる前に、タングステンの核生成層を還元剤にさらすことにより形成される。例えば、単一の還元剤パルスに代わり、多数の連続した還元剤パルスにタングステンの核生成層をさらすことにより、均一性および接着性共に良好であり、抵抗率が低いタングステン膜が形成される。
本発明の一側面では、タングステンのバルク層を堆積させる前に、タングステンの核生成層を還元剤のパルスにさらすことにより、タングステン膜が形成される。タングステン膜を堆積させる工程は、(a)反応チャンバ内に基板を配置する工程と、(b)基板上にタングステンの核生成層を堆積させる工程と、(c)パルス間のパルス動作なしに複数の還元剤パルスに核生成層をさらす工程と、(d)タングステンの核生成層上にタングステンのバルク層を堆積させてタングステン膜を形成する工程と、を有する。
上記順序に従う方法のいくつかの実施形態では、還元剤は、ホウ素含有還元剤、であり、また、いくつかの実施形態では、還元剤は、ジボランである。いくつかの実施形態では、工程(c)における還元剤パルスの数は2から8である。さらなる実施形態では、基板は、還元剤パルスにさらされる前に、約375℃から415℃まで加熱され、当該温度を安定させる。いくつかの実施形態では、タングステンの核生成層上にタングステンのバルク層を堆積させる前に、基板は、約375℃から415℃まで加熱される。いくつかの実施形態では、タングステン核生成層上にタングステンバルク層を堆積させる前に、核生成層は、タングステン含有前駆物質にさらされる。
タングステン膜形成プロセスのさまざまな実施形態によれば、工程(c)における複数の還元剤パルスの各パルスは、パルス時間を有し、当該パルス時間は、0.5から5秒である。パルス時間は、還元剤パルス間で異なりうる。いくつかの実施形態では、還元剤パルス間のインターバル時間は、約2から5秒である。還元剤パルス間のインターバル時間は、そのインターバルによって異なりうる。いくつかの実施形態では、還元剤パルス間のインターバル時間は、後続のパルスであるほど短い。さらなる実施形態では、複数の還元剤パルスの各パルスは、流量を有し、当該流量は、約100から500sccmmである。流量も還元剤パルス間で異なりうる。
上記方法のいくつかの実施形態では、基板上に形成された500オングストロームの厚さのタングステン膜は、シート抵抗の不均一性が5%より小さい、および/または、抵抗率が12マイクロオームセンチメートル未満である。
他の実施形態では、タングステン膜堆積プロセスは、(a)反応チャンバ内に基板を配置する工程と、(b)パルス核生成層プロセスによって基板上にタングステンの核生成層を堆積させる工程と、(c)基板を約395℃まで加熱し、当該温度を安定させる工程と、(d)基板温度を約395℃に維持しながら、パルス間のパルス動作なしに、核生成層を2から8パルスのジボランにさらす工程と、(e)基板温度を約395℃に維持する工程と、(f)核生成層をタングステン含有前駆物質にさらす工程と、(g)タングステン核生成層上にタングステンバルク層を堆積させる工程と、を有し、ジボランは、約100から500sccmの流量、約0.5から5秒のパルス時間、および、約2から5秒のインターバル時間を有する。
基板にタングステン膜を堆積させる装置の一実施形態では、装置は、マルチステーション基板堆積チャンバと、当該マルチステーション堆積チャンバ内の動作を制御するコントローラとを備える。マルチステーション基板堆積チャンバは、タングステン核生成層堆積ステーションと、還元剤暴露ステーションと、タングステンバルク層堆積ステーションとを有する。コントローラは、核生成層を堆積させるよう、タングステン核生成層堆積ステーションにおける還元剤パルスおよびタングステン含有前駆物質パルスの交互の発生を制御し、還元剤暴露ステーション内で、複数の還元剤パルスを、当該パルス間のパルス動作なしに発生させ、タングステンバルク層堆積ステーション内に、タングステン含有前駆物質、および、還元剤を暴露することにより、タングステンバルク層のすべてまたは一部を堆積させる。特定の実施形態では、コントローラは、タングステン核生成層堆積ステーションから還元剤ステーションまで、および、還元剤ステーションからタングステンバルク層堆積ステーションまでの基板の移送を制御する。
本発明の上記および他の特徴は、添付の図面を参照して以下に詳細に説明される。
図面と関連付けて考察することにより、以下の詳細な説明の理解はより深まるであろう。
本発明のさまざまな実施形態における、還元剤パルスとインターバル時間とを表すグラフである。
本発明のさまざまな実施形態における、還元剤パルスとインターバル時間とを表すグラフである。
本発明のさまざまな実施形態における、還元剤パルスとインターバル時間とを表すグラフである。
単一パルスおよびマルチパルス還元剤処理により形成されたタングステン膜用ウェハにおけるタングステン膜シート抵抗を示すグラフである。
単一パルスおよびマルチパルス還元剤処理により形成された多数のタングステン膜のシート抵抗の不均一性対抵抗率を示すグラフである。
本発明のさまざまな実施形態における関連動作または方法を示すプロセスのフローチャートである。
本発明のさまざまな実施形態における関連動作または方法を示すプロセスのフローチャートである。
本発明のさまざまな実施形態における関連動作または方法を示すプロセスのフローチャートである。
本発明の複数の実施形態における、タングステン堆積プロセスの実行に適した処理システムのブロック図である。
本発明の複数の実施形態において形成されたタングステン核生成層、および、タングステンバルク層を含む積層膜の概略図である。
本発明の複数の実施形態において形成されたタングステン核生成層、および、タングステンバルク層を含む積層膜の概略図である。
以下の説明では、本発明の完全なる理解をもたらすべく、タングステン膜の形成に関する多数の具体的な詳細が記載される。好適な方法は、以下に詳細に説明するタングステン核生成層を還元剤パルスにさらす工程を含む。本願明細書中に示される特定の方法および構造の修正、改造、または、変更も当業者にとっては明らかであり、本発明の範囲に含まれる。
一般的に、核生成層は、その上に次なるバルク層の形成を促す薄いコンフォーマルな層である。効率的なタングステン堆積プロセスは、タングステン核生成層が必要であるが、タングステン核生成層は、一般的に、タングステンバルク層より高い電気抵抗率を有する。したがって、タングステン膜全体(タングステン核生成層、および、タングステンバルク層)の電気抵抗率を低く保つためには、タングステン核生成層を可能な限り薄く維持しなければならない。その一方で、タングステン核生成層は、下にある基板を完全に覆って高品質のバルク堆積を支持するだけの十分な厚みもなければならない。
抵抗率は、材料の固有特性であり、材料を通じての電荷の移動に対する材料の抵抗の測定値である。材料の抵抗率は、集積回路の電気的動作に影響する。低抵抗率のタングステン膜は、集積回路設計における電力損失、および、オーバーヒートを最小限に留める。さらに、タングステン膜は、ウェハにおける抵抗率の均一性に優れ、下層材料への接着性にも優れていることが望ましい。
本願明細書中に記載される方法は、タングステン核生成層によるタングステン膜の形成を含む。特定の実施形態では、タングステンバルク層を堆積させる前に、核生成層は、還元剤パルスにさらされる。結果として生じたタングステン膜は、核生成層を還元剤パルスにさらさずに形成されたタングステン膜よりも、一般的に抵抗率が低く、良好な均一性および良好な接着性を有する。
以下に、本発明の特定の実施形態の側面がさらに詳細に記載される。
[プロセス]
本願明細書中に記載されるプロセスでは、タングステン核生成層上にタングステンバルク層が堆積される前に、タングステン核生成層は、1つ以上の還元剤パルスにさらされる。これは、核生成層表面処理とみなされてよい。還元剤パルスにさらすことにより、タングステン膜全体(核生成層およびバルク層)の抵抗率が向上する。
図1Aは、タングステン核生成層への単一の還元剤パルスを表す。垂直軸は、気体流量を表し、水平軸は、プロセスのさまざまな工程に関連した段階に区切られた時間を表す。タングステン核生成層は、基板上に堆積した後、図1Aに示されるような単一の還元剤パルスにさらされる。その後、タングステンバルク層が核生成層上に堆積される(図示せず)。図1Aに示される例では、還元剤としてジボランを用いているが、シラン、および、他のボランなどの他の還元剤を用いてもよい。
一般的に、核生成層に還元剤処理を施した後に形成されるタングステン膜は、低抵抗率を有するが、シート抵抗の均一性は、核生成層を還元剤にさらさずに形成されたタングステン膜より劣る(すなわち、不均一性のパーセントが高い)。(a)図1Aに示されるような単一の還元剤パルスを用いた場合、および、(b)核生成層を還元剤にさらさない場合、に形成された500オングストロームの厚さのタングステン膜の典型的な抵抗率、および、不均一性のパーセントが以下の表1に示されている。
表1:核生成層に還元剤を用いた場合と用いない場合に形成された厚さ500オングストロームのタングステン膜の典型的な抵抗率および均一性
表1に示すように、還元剤処理なしで形成されるタングステン膜の典型的な抵抗率は、図1Aに示すような単一の還元剤パルスを用いて形成された膜の典型的な抵抗率より大きい。しかしながら、還元剤処理なしで形成されたタングステン膜のシート抵抗の均一性は、単一パルスの還元剤処理によって形成された膜のシート抵抗の均一性より一般的に優れている。単一パルスの還元剤処理によって形成されたタングステン膜の抵抗率は、還元剤に多くさらすことにより(すなわち、パルス時間を長くする、および/または、気体流を増やす)ことによってさらに低下させることができるが、下位層へのタングステン膜の接着性(表1には示されていない)は、単一パルス状の還元剤に多くさらすことにより低下する。
図1Bは、本発明の特定の実施形態における還元剤パルスとインターバル時間とを表すグラフである。垂直軸は、気体流量を表し、水平軸は、プロセスのさまざまな工程に関連した段階に区切られた時間を表す。堆積した核生成層は、パルス間にインターバル時間のあるマルチ還元剤パルスにさらされる。インターバルの間は、図1Bに示すように、反応チャンバに還元剤は供給されない。上述のごとく、本例における還元剤は、ジボランであるが、他の還元剤を用いてもかまわない。
図1Bに示すようなマルチパルス処理によって、タングステン膜の抵抗率および均一性は予想外に向上する。表2は、300mmのウェハ上の窒化チタンバリア層に堆積された厚さ約510オングストロームのタングステン膜の特性を示す。還元剤処理に用いられる還元剤は、ジボランであった。各ウェハへのジボラン暴露量は等しく、すなわち、6秒間で1パルス、および、1秒間で6パルス、どちらも6秒間ジボランにさらされたことになる。抵抗率、不均一性のパーセント、および、接着性が測定された。接着性は、スクライブ/テープテストによって測定された。スクライブ/テープテストでは、タングステン膜は、ダイヤモンドカッターによって線を引かれ、線が引かれた領域にテープが貼付され、その後テープが剥がされた。スクライブ/テープテストの後、窒化チタンバリア層にタングステン膜が残っていれば、接着性が「合格」であることを示す。一方、テープによってタングステン膜が部分的に取り除かれていれば「不合格」であることを示す。データからわかるように、タングステン核生成層のマルチパルスジボラン処理によって、単一パルス処理のときよりも、高い接着性を維持しつつ、抵抗率が低く、シート抵抗の均一性に優れた(すなわち不均一性のパーセントが低い)タングステン膜が得られる。
表2:単一パルス還元剤処理、および、マルチパルス還元剤処理を用いて調製された300mmのウェハ上の窒化チタンバリア層における厚さ510オングストロームのタングステン膜の比較
図2および3を参照して以下に詳述するが、表2で示すように、異なるパルスのジボランを用いることにより、優れた接着性を保ちつつ、タングステン膜の抵抗率および均一性は予想外に向上する。上記のごとく、表2における各ウェハの総ジボラン暴露量は、等しい。表2に示されたマルチパルス処理と単一パルス処理との間の唯一の相違点は、マルチパルス処理は、細分化されてより小さなパルスのジボランとなり、抵抗率および均一性にさらに著しい向上が見られることである。
図2は、単一パルスおよびマルチパルス還元剤処理により形成されたタングステン膜用ウェハにおけるタングステン膜シート抵抗を示すグラフである。このグラフに示されたデータは、表2で採り上げた同じウェハから得たものである。データからわかるように、マルチパルスジボラン処理は、ウェハの端部およびウェハの中心のどちらにおいても抵抗率を下げると共に、中心と端部との抵抗率の差を小さくする。したがって、マルチパルスジボラン処理では、単一パルス処理と比べ、抵抗率および不均一性のパーセントが共に低くなる。
図3は、単一パルスおよびマルチパルス還元剤処理により形成された多数のタングステン膜のシート抵抗の不均一性と抵抗率との関係を示すグラフである。データポイントで示されるタングステン膜は、異なるバリア層材料上に堆積され、タングステン核生成層は、異なる気体流で処理された。各タングステン膜間にばらつきはあるものの、マルチパルス還元剤処理で形成されたタングステン膜は、単一パルス処理で形成された膜より優れた特性を示す。グラフ上に楕円形で囲まれたマルチパルス処理による膜のすべては、シート抵抗の不均一性のパーセントが低く、抵抗率も低い。マルチパルス処理での接着性の結果も良好であり、不合格となったタングステン膜は1つだけだった。一方、単一パルス還元剤処理で形成されたタングステン膜は、一般的に、シート抵抗の不均一性のパーセントが高いか、または、抵抗率が高い。シート抵抗の不均一性のパーセントが低く、抵抗率も低い1つの単一パルス還元剤処理による膜(楕円形内のデータポイント)では、接着性が不合格である。
1パルスにおける還元剤の気体流量は、好ましくは、約100から500sccmである。各還元剤パルスのパルス時間は、約0.5秒から5秒までの間で変化し、より好ましくは、約1から2秒である。複数の還元剤パルスの各還元剤パルス間のインターバル時間は、好ましくは、約2秒から5秒である。これらの動作条件では、還元剤パルスの数は、好ましくは2から8である。チャンバ圧力は、マルチパルス還元剤処理中、広範に変化してよく、約2から100Torr、より好ましくは、約20から40Torrである。これらの還元剤パルスパラメータは、300mmのウェハを基準としており、ウェハのサイズ、特定の処理装置、用いられる特定の還元剤などに応じて調整する必要がある。還元剤パルスにさらされた後、核生成層上にタングステンバルク層が堆積される。結果として生じたタングステン膜は、核生成層が単一の還元剤パルスにさらされて形成された薄膜に比べ、低抵抗率、良好なシート抵抗均一性、および、強い接着性を有する。
パルス時間、パルスドーズ量、および、インターバル時間に応じて、所望のタングステン膜特性を得るために用いるべきパルスの最適数があることが判明した。用いるパルスが少なすぎると、タングステン膜の抵抗率およびシート抵抗の不均一性共に高くなる。用いるパルスが多すぎると、タングステン膜の抵抗率および不均一性は低くなるが、接着性が弱くなる。多くの実施形態では、パルス最適数は、2から8であるが、用いられる動作条件に依存する。非常に異なる処理条件に対しては、非常に多くのパルス数が用いられうる。
タングステン核生成層がマルチパルスにさらされる実施形態では、それらのパルスは同一か、または、互いに異なってよい。図1Cは、特定の実施形態における、異なる還元剤パルス、および、インターバル時間を表すグラフの一例を示す。上記のごとく、垂直軸は、気体流量を表し、水平軸は、プロセスのさまざまな工程に関連した段階に区切られた時間を表す。図1Cでは、還元剤パルスのパルス時間は異なり、後続のパルスであるほど短くなっている。また、図1Cでは、各還元剤パルスにおける気体流量は、異なり、後続のパルスであるほど減少している。さらに、図1Cでは、パルス間のインターバル時間は、異なり、後続のパルスであるほど短くなっている。還元剤パルス時間、還元剤気体流量、および、インターバル時間は、別々に変化することにより、スループットを最適化し、さらには、タングステン膜の抵抗率、均一性、および、接着性を向上させる。
例えば、パルス流量、パルス時間、および/または、インターバル時間の変化は、基板表面への還元剤の異なる吸着速度が原因でありえる。特定の実施形態では、パルス時間、および/または、流量を減少させてよく、その場合、基板の還元剤適用範囲の著しい損失はなく、しかもスループットは向上する。図1Cにおける例では、流量、パルス時間、および、インターバル時間のすべてが減少しているが、個別に減少、増加、または、変化してよい。特定の実施形態では、例えば、2つの流量および/またはパルス時間が用いられ、1つが最初のパルス用、もう1つは、続くすべてのパルス用である。
図4は、本発明の特定の実施形態における1つのプロセスの流れを示すフローチャートである。まず、プロセスブロック401に示されるように、反応チャンバ内に基板が提供されて配置される。本発明の多くの実施形態では、基板は、半製品の電子デバイス(例えば、半製品の集積回路)である。いくつかの実施形態では、基板は、(例えば、四塩化チタンを用いた物理蒸着法(PVD)、または、化学蒸着法(CVD)によって堆積された)窒化チタン層でコーティングされてよい。この層の上にタングステン核生成層が堆積される。
次に、プロセスブロック403に示されるように、基板上にタングステン核生成層が堆積される。特定の実施形態では、タングステン核生成層を堆積させるためにパルス核生成層(PNL)プロセスが用いられる。PNL堆積プロセスでは、還元剤、パージガス、および、タングステン含有前駆物質のパルスが順次反応チャンバに送り込まれ、当該反応チャンバから出される。このプロセスは、核生成層が所望の厚さになるまで周期的に繰り返される。PNLは、文献で報告されている原子層堆積(ALD)技術と同様であるが、動作圧力レンジがより高い(1Torrより大きい)こと、サイクルごとの成長速度がより高い(サイクルごとの1単層膜の成長より大きい)ことによって、一般にALDとは区別される。本願明細書中で採り上げられるPNLプロセスは、従来のALD型プロセスも包含する。
上記のごとく、タングステン核生成層は、タングステンバルク層の成長を促す薄いコンフォーマルな層である。タングステン核生成層の厚さは、一般的には10から30オングストロームである。タングステン核生成層を堆積させるPNL型プロセスについてのさらなる検討は、同一出願人による米国特許出願第11/265、531で見ることができ、その全体を参照により本願明細書に組み込む。PNL型処理についてのさらなる検討は、同一出願人による米国特許第6,844,258、7,005,372、および、7,141,494で見ることができ、それぞれの特許は、その全体を参照により本願明細書に組み込む。タングステン核生成層を形成するさらなる方法は、同一出願人による米国特許第6,905,543で見ることができ、その全体を参照により本願明細書に組み込む。
図4における次のプロセス動作405は、核生成層が還元剤パルスにさらされる工程を含む。特定の実施形態では、還元剤は、ジボランである。ジボランおよび関連する成分は、窒化チタンなどの金属窒化物表面によく吸着することがわかっている。ボランは自らの制約で基板に吸着されない。ジボランは、基板表面と反応してホウ素膜に分解される。基板がジボランにさらされ続ける限り、反応は進行しうる。したがって、吸着種の量は、ジボランの暴露量に依存する。一般的に、ジボランは、希釈されたソース(例えば、ジボラン5%、窒素95%)から供給される。ジボランは、例えば、アルゴン、水素、および/または、シランなどの他のさらなるキャリヤガスを用いて反応チャンバに送られてよい。また、複数の実施形態は、還元剤パルスおよびインターバル時間のどちらにおいても反応チャンバに流れ続ける不活性ガス/水素ガスの混合物を含む。特定の実施形態では、不活性ガスはアルゴンである。しかしながら、インターバル時間中は、継続的に流れる不活性ガス/水素ガスの混合物、または、バックグラウンドガス以外のガスは反応チャンバには流れない、すなわち、還元剤パルス間のインターバル時間中は、いかなるパルス動作もない。核生成層が還元剤にさらされる時間は、暴露量およびチャンバ条件に一部基づいて変化する。図1Cを参照して上述したように、還元剤パルス時間、インターバル時間、および、流量は、すべて変化してよい。
タングステン核生成層が還元剤パルスにさらされた後、その上に、動作407に示すようにタングステンバルク層が堆積される。多くの実施形態では、タングステンバルク層は、CVDプロセスを用いて堆積される。それは、CVDが速やかに低抵抗率膜を形成することがわかっているからである。いかなる適切なタングステン含有前駆物質によるいかなる適切なCVDプロセスも利用されうる。特定の実施形態では、タングステン前駆物質は、WF6、WCl6、および、W(CO)6のうちの1つである。CVDプロセスは、分子水素と上記前駆物質の1つ以上との混合物を用いて実行されることがよくある。他の実施形態では、CVDプロセスは、シランを伴うタングステン前駆物質、水素とシランとの混合物、または、水素とボランとの混合物(例えばジボラン)を用いてよい。ALD、PNL、または、PVDを含むCVDプロセス以外の方法を用いてタングステンバルク層を形成してもよい。
タングステンバルク層は、いかなる厚さにも堆積されうる。集積回路用途のタングステンインターコネクト線の厚みの合計(タングステン核生成層およびタングステンバルク層)は、約20から1、000オングストロームでありうる。典型的なビット線では、タングステン膜の厚みの合計は、一般的には約500オングストローム以下である。タングステン膜が十分な厚さに堆積された後、図4のプロセスフローは終了する。特定の実施形態では、動作405から407までが繰り返し実行されることにより、タングステン膜がむらなく堆積される。
図5のフローチャートには、タングステン膜を形成するための本発明の他の実施形態が示されている。図5におけるプロセスフローは、図5におけるプロセスフローを実装するにあたり任意で実行されうる動作505、509、および、511を除けば、図4におけるプロセスフローと同様である。
図4に示されるプロセスと同様に、まず、プロセスブロック501で示されるように、反応チャンバ内に基板が提供されて配置される。次に、プロセスブロック503に示されるように、基板上にタングステン核生成層が堆積される。
プロセスブロック505に示されるように、プロセス動作507において核生成層が複数の還元剤パルスにさらされる前に、基板は、約375℃から415℃、好ましくは、約395℃に任意で加熱され、当該温度は安定させられる。次に、プロセス動作507において、上述のごとく、核生成層は、複数の還元剤パルスにさらされる。次に、プロセス動作509において、熱処理が行われる。この熱処理において、基板は、約375℃から415℃、好ましくは395℃に加熱されうる。核生成層が還元剤パルスにさらされる(プロセス動作507)前に余熱プロセス動作505を実行し、還元剤処理の後に熱処理プロセス動作509を実行することによって、より優れた特性を有するタングステン膜が得られる。熱処理動作は、395℃でのソーク(thermal soak)を含みうる。プレ/ポスト熱処理は、膜の接着性を強め、シート抵抗の不均一性のパーセントを低くする。本願明細書中に記載した例におけるプロセス温度は、395℃であるが、余/後熱処理は、他のプロセス温度を用いてもよい。
また、プロセス動作511において、核生成層上にタングステンバルク層が堆積される前に、核生成層がタングステン含有前駆物質にさらされることにより、タングステン核生成層の他の部分が形成されうる。いかなる適切なタングステン含有前駆物質も用いられうる。特定の実施形態では、タングステン前駆物質は、WF6、WCl6、および、W(CO)6のうちの1つである。タングステン含有前駆物質は、通常、アルゴン、窒素、水素などの希釈ガス、または、それらの組合せの状態で提供される。さまざまな実施形態によれば、ブロック505から511までの温度は、一定でも、あるいは、約250から415℃までの異なる温度間で変化してもよい。特定の実施形態では、基板温度は、約350℃を下回ってよく、例えば、約250℃から350℃、または、275℃から350℃であってよい。タングステン含有前駆物質の暴露量、および、基板が前駆物質にさらされる時間は、多数の要因によって変化する。本プロセス動作は、タングステン膜の特性も向上させる。
図6は、図5に示された方法の特定の実施形態を示す。まず、プロセスブロック601に示されるように、反応チャンバ内に基板が提供されて配置される。次に、プロセスブロック603に示されるように、約300℃の低温でのパルス核生成層プロセスによって、基板上にタングステン核生成層が堆積される。プロセスブロック605に示されるように、基板は、約395℃に加熱されて、温度は安定させられる。その後、上述のごとく、プロセス動作607において、核生成層が複数のジボランパルスにさらされる。次に、プロセス動作609において、基板は、約395℃で熱処理される。その後、プロセス動作611において、核生成層は、タングステン含有前駆物質にさらされる。いかなる適切なタングステン含有前駆物質が、典型的には希釈ガスの状態で提供されてよい。特定の実施形態では、タングステン前駆物質は、WF6、WCl6、および、W(CO)6のうちの1つである。基板温度は、約250℃から415℃であってよく、特定の実施形態では、約350℃を下回ってよく、例えば、約250℃から350℃、または、275℃から350℃であってよい。タングステン核生成層がタングステン含有前駆物質にさらされた後、動作613において、タングステン核生成層上にタングステンバルク層が堆積される。
上述のごとく、タングステン核生成層は、一般的に、タングステンバルク層より高い電気抵抗率を有する。タングステン膜全体(タングステン核生成層およびタングステンバルク層)の抵抗率を低く保つためには、タングステン核生成層の厚みをできるだけ薄く維持しなければならい。図6に示すように、薄い核生成層を形成すべく、PNLタングステン堆積プロセスが用いられる。核生成層には、タングステンバルク層が堆積される前に、マルチパルス還元剤処理が施される。結果として生じたタングステン膜は、全体として良好な接着性を維持しつつ、低抵抗率および優れた均一性を有する。
[装置]
本発明の方法は、さまざまな販売業者から入手可能なさまざまなタイプの堆積装置において実行しうる。適切な装置の例は、Novellus Concept 2 Altus、Concept−2 Altus−S、Concept 3 Altus堆積システムであり、すべてカリフォルニア州サンタクララのNovellus Systems社から購入できる。または、他のいかなる市販のCVD処理システムでもよい。場合によっては、プロセスは、複数の堆積ステーションで順次実行されうる。例えば、参照により本願明細書中に組み込まれる米国特許第6、143、082を参照されたい。いくつかの実施形態では、タングステン核生成層堆積プロセスは、単一の堆積チャンバ内に配置された2つ、4つ、5つ、または、より多くの堆積ステーションの1つである第1のステーション、または、第1および第2のステーションで実行される。還元ガスおよびタングステン含有ガスは、基板表面に局所雰囲気を生成する個別のガス供給システムを用いて、第1のステーションにおいて半導体基板表面に交互に導入される。
図7は、本発明の複数の実施形態における、タングステン薄膜堆積プロセスの実行に適したCVD処理システムのブロック図である。システム700は、移送モジュール703を含む。移送モジュール703は、クリーンな加圧された雰囲気を提供することにより、処理中の基板がさまざまなリアクタモジュール間を移動する際に汚染されるリスクを最小限に留める。マルチステーションリアクタ709に載置された移送モジュール703は、本発明の実施形態におけるPNL堆積、マルチパルス還元剤処理、および、CVDを実行することができる。チャンバ709は、これらの動作を連続的に実行しうるマルチステーション711、713、715、および、717を有する。例えば、チャンバ709は、ステーション711がPNL堆積を実行し、ステーション713がマルチパルス還元剤処理を実行し、ステーション715および717がCVDを実行するようにされうる。
移送モジュール703に載置されうる1つ以上の単一またはマルチステーションモジュール707は、プラズマまたは化学(非プラズマ)プレクリーンを実行できる。モジュールは、ポストライナ窒化タングステン処理などのさまざまな他の処理に用いられうる。システム700は、1つ以上(この場合は2つ)のウェハソースモジュール701を含み、この場合、ウェハは、処理の前後には格納される。大気移送チャンバ719における大気ロボット(図示せず)は、まず、ウェハをソースモジュール701からロードロック721へと移動させる。移送モジュール703におけるウェハ移送デバイス(一般にはロボットアームユニット)は、ウェハをロードロック721から移送モジュール703に取り付けられたモジュールに移動させる。
特定の実施形態では、堆積中のプロセス条件を制御するためにシステムコントローラが用いられる。コントローラは、一般的に、1つ以上のメモリデバイス、および、1つ以上のプロセッサを含むとされる。プロセッサは、CPU、または、コンピュータ、アナログおよび/またはデジタル入出力接続部、ステッパモータコントローラボードなどを含みうる。
コントローラは、堆積装置の動作のすべてを制御しうる。システムコントローラは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウェハ温度、RF電力レベル、ウェハチャックまたはペデスタル位置、および、特定のプロセスの他のパラメータを制御する命令セットを含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラに付随してメモリデバイスに格納される他のコンピュータプログラムも用いられうる。
一般的には、コントローラに付随するユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイスクリーン、装置および/またはプロセス条件のグラフィックソフトウェア表示、および、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含みうる。
プロセスシーケンスにおける堆積および他の処理を制御するコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートラン、または、他のいかなる従来のコンピュータ可読プログラミング言語で記述されてもよい。コンパイルされたオブジェクトコードまたはスクリプトがプロセッサにより実行されて、プログラム内で識別されたタスクを実行する。
コントローラパラメータは、例えば、プロセスガスの組成および流量、温度、圧力、RF電力レベル、および、低周波RF周波数などのプラズマ条件、冷却ガス圧力、および、チャンバ壁温度などのプロセス条件に関連する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインターフェースを利用して入力されうる。
プロセスをモニタする信号は、システムコントローラのアナログおよび/またはデジタル入力接続部によって供給されうる。プロセスを制御する信号は、堆積装置のアナログおよびデジタル出力接続部に出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されうる。例えば、さまざまなチャンバ構成部品サブルーチン、または、制御対象が本発明の堆積プロセスを実行するために必要なチャンバ構成部品の動作を制御すべく書き込まれうる。この目的のためのプログラムまたはプログラムのセクションは、基板位置決めコード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、および、プラズマ制御コードを含む。
基板位置決めプログラムは、基板をペデスタルまたはチャックに載せ、当該基板と、ガス入口および/またはターゲットなどのチャンバの他の部分との間隔を制御するために用いられるチャンバ構成部品を制御するプログラムコードを含みうる。プロセスガス制御プログラムは、チャンバ内の圧力を安定させるべく、堆積前にガス組成および流量を制御し、任意でチャンバ内にガスを送り込むためのコードを含みうる。圧力制御プログラムは、例えば、チャンバの排気システムにおけるスロットルバルブなどを調整することにより、チャンバ内の圧力を制御するコードを含みうる。ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するコードを含みうる。または、ウェハチャックへの、ヘリウムなどの熱伝導ガスの供給を制御しうる。
堆積中にモニタされうるチャンバセンサの例は、マスフローコントローラ、マノメータなどの圧力センサ、および、ペデスタルまたはチャックに配置される熱電対を含む。所望のプロセス条件を維持すべく、これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムが用いられうる。
ここまでは、単一またはマルチチャンバ半導体処理ツールにおける本発明の実施形態の実装についての説明である。
[用途]
本発明の実施形態は、多くの異なる用途の低抵抗率のタングステン薄膜を堆積させるために用いられうる。1つの好適な用途は、メモリチップ、および、マイクロプロセッサなどの集積回路におけるインターコネクトである。インターコネクトは、単一のメタライゼーション層に見られる電流ラインであって、概ね長く薄く平らな構造を有する。これらがタングステン膜のブランケット堆積により(上述のようなプロセスにより)形成され、続いて、通電タングステン線の位置を定めるパターニング、および、タングステン線の外側の領域からタングステンを除去する動作が行われる。
インターコネクト用途の主な例は、メモリチップにおけるビット線である。本発明の実施形態は、インターコネクト用途に限定されないのは、もちろんであり、電子デバイスにおいて一般的に見られるビア、コンタクト、および、他のタングステン構造にまで拡張される。一般に、本発明の実施形態は、低抵抗率のタングステン薄膜が必要とされるあらゆる環境において用途を見出す。他の主な例は、コンタクトビアである。
本発明の実施形態は、一般的に500オングストローム以下、好ましくは、300オングストローム以下のオーダーの比較的薄い低抵抗率のタングステン膜にも焦点を当てる。しかしながら、より一般的には、本発明の実施形態は、約5オングストロームから1000オングストロームの厚みを有するタングステン膜を含む、より広い範囲のタングステン膜に適用される。一般的には2000から3000オングストロームであるが、約4000オングストロームくらいの厚膜でも堆積されうる。これらの厚膜(2000から4000オングストローム)は、一般的に、プラグフィル、または、プラグフィルおよび1の金属線に用いられ、一方、それより薄い膜は、ビットラインに用いられる。一般的に、膜厚は、特定の集積方式に依存する。
図8Aおよび8Bは、本発明の方法を用いて形成されうる2つの異なる積層膜を示す断面図である。どちらの積層膜も上記のようなインターコネクト用途を表しうる。図8Aの積層膜は、下層基板801に形成され、単一の構成要素、または、より一般的には、さまざまな導電、絶縁、および、半導体構成部品を有する複合多機能構造であってよい。例えば、基板801は、二酸化ケイ素などのシリコンまたは誘電体を含む最上層を有しうる。基板801、チタン層803、窒化チタン層805、タングステン核生成層807、および、タングステンバルク層809の順で接触している(本発明の実施形態に従い形成される)。チタン層803は、一般的にCVDプロセスによって堆積されることにより、下層基板801とのかなり良好な接着性が得られる。窒化チタン層805は、一般的にCVDまたはPVD方法を用いて堆積され、続くタングステン堆積中に、下のチタンおよび/またはシリコンが六フッ化タングステンにさらされるのを防ぐために用いられる。WF6はチタンと非常に強く、時には劇的に反応することがわかっている。タングステン核生成層807は、好ましくはパルス核生成プロセスにより形成される。タングステンバルク層809は、上記のような本発明の実施形態に従い形成される。上記のようなインターコネクト用途において、層803、805、807、および、809は、すべてエッチングされることによりインターコネクト線が形成される。
図8Bの積層膜は、下層基板811(例えば、シリコンおよび/または二酸化ケイ素を含む)、タングステン核生成層815、および、タングステンバルク層817がある点では、図8Aと同様である。しかしながら、チタンおよび窒化チタン層に代わり、タングステン層812、および、窒化タングステン層813が用いられる。窒化層813は、下にあるシリコンがWF6にさらされるのを防ぐために用いられ、一般的に、CVDまたはPVDを用いて堆積されることにより、下のシリコンまたは誘電体基板へのかなり良好な接着性が得られるが、インターコネクトとして機能するために十分な高品質の層を必ずしも提供しない。図8Aの積層膜では、層812、813、815、および、817のすべてがエッチングされることにより、インターコネクト線が形成される。
[他の実施形態]
いくつかの実施形態に関して本発明を説明してきたが、変更、修正、置き換え、および、代替等価物もありえ、それらは本発明の範囲に含まれる。本発明の方法および装置を実装する多くの別な方法が存在することに留意されたい。したがって、添付の請求項は、本発明の実施形態の真の趣旨および範囲に納まるものとして、そのような変更、修正、置き換え、および、代替等価物のすべてを含むと解釈されることが意図される。
ここまで、抵抗率が低く、均一性および接着性共に優れたタングステン薄膜を形成する本発明の実施形態を説明してきた。本願明細書に記載される方法は、銅およびアルミニウムを含む、抵抗率が低く、均一性および接着性共に優れた他の金属膜を形成するためにも適用可能である。

Claims (18)

  1. 反応チャンバ内で基板上にタングステン膜を形成する方法であって、
    (a)前記反応チャンバ内に前記基板を配置する工程と、
    (b)前記基板上にタングステン核生成層を堆積させる工程と、
    (c)前記核生成層を、複数の還元剤パルスにさらす工程であって、前記パルス間にはパルス動作がない工程と、
    (d)前記タングステン核生成層上にタングステンバルク層を堆積させて前記タングステン膜を形成する工程と、
    を備える方法。
  2. 前記還元剤は、ボロン含有還元剤である、請求項1に記載の方法。
  3. 前記還元剤は、ジボランである、請求項1に記載の方法。
  4. 工程(c)における還元剤パルスの数は、2から8である、請求項1に記載の方法。
  5. 工程(c)の前に、前記基板を約375℃から415℃に加熱し、当該温度を安定させる工程をさらに備え、工程(c)の間、前記温度は、約375℃から415℃に維持される、請求項1に記載の方法。
  6. 工程(d)の前に、前記基板を約375℃から415℃に加熱する工程をさらに備える、請求項1に記載の方法。
  7. 工程(d)の前に、前記核生成層をタングステン含有前駆物質にさらす工程をさらに備える、請求項1に記載の方法。
  8. 工程(c)における前記複数の還元剤パルスの各パルスは、パルス時間を有し、前記パルス時間は、約0.5から5秒である、請求項1に記載の方法。
  9. 工程(c)における前記複数の還元剤パルスの各パルスは、パルス時間を有し、少なくとも2つの還元剤パルスの前記パルス時間は異なる、請求項1に記載の方法。
  10. 工程(c)における還元剤パルス間のインターバル時間は、約2から5秒である、請求項1に記載の方法。
  11. 工程(c)における還元剤パルス間のインターバル時間は、少なくとも2つのインターバル時間で異なる、請求項1に記載の方法。
  12. 工程(c)における還元剤パルス間のインターバル時間は、後続のパルスであるほど短くなる、請求項1に記載の方法。
  13. 前記複数の還元剤パルスにおける各パルスは、流量を有し、前記流量は、約100から500sccmである、請求項1に記載の方法。
  14. 前記複数の還元剤パルスにおける各還元剤パルスは、流量を有し、少なくとも2つの還元剤パルスの前記流量は、異なる、請求項1に記載の方法。
  15. 前記基板上に形成された前記タングステン膜のシート抵抗の不均一性のパーセントは、5%未満である、請求項1に記載の方法。
  16. 前記基板上に形成された前記タングステン膜の抵抗率は、500オングストロームの厚さのタングステン膜では、約15マイクロオームセンチメートル未満である、請求項1に記載の方法。
  17. 反応チャンバ内で基板にタングステン膜を形成する方法であって、
    (a)前記基板を反応チャンバ内に配置する工程と、
    (b)パルス核生成層プロセスによって、前記基板上にタングステン核生成層を堆積させる工程と、
    (c)前記基板を約395℃に加熱し、当該温度を安定させる工程と、
    (d)前記基板の温度を約395℃に維持しつつ、前記核生成層を、2から8パルスのジボランにさらす工程であって、前記パルス間はパルス動作がない工程と、
    (e)前記基板の温度を約395℃に維持する工程と、
    (f)前記核生成層をタングステン含有前駆物質にさらす工程と、
    (g)前記タングステン核生成層上にタングステンバルク層を堆積させて前記タングステン膜を形成する工程と、
    を備え、
    前記ジボランは、約100から500sccmの流量、約0.5から5秒のパルス時間、および、約2から5秒のインターバル時間を有する、
    方法。
  18. 基板上にタングステン膜を堆積させる装置であって、
    a)マルチステーション基板堆積チャンバと、
    b)前記マルチステーション堆積チャンバにおける動作を制御するコントローラと、
    を備え、
    前記マルチステーション基板堆積チャンバは、
    i)基板サポート、および、前記基板をガスのパルスにさらすための1つ以上のガス入口、を有するタングステン核生成層堆積ステーションと、
    ii)基板サポート、および、前記基板をガスのパルスにさらすための1つ以上のガス入口、を有する還元剤暴露ステーションと、
    iii)基板サポート、および、前記基板をガスにさらすための1つ以上のガス入口、を有するタングステンバルク層堆積ステーションと、
    を有し、
    前記コントローラが制御する前記動作は、
    i)前記タングステン核生成層堆積ステーション内で複数の還元剤パルス/パージガスパルス/タングステン含有前駆物質パルスサイクルを発生させることにより、前記基板の表面にタングステン核生成層を形成することと、
    ii)前記還元剤暴露ステーションにおいて、複数の還元剤パルスを、前記パルス間のパルス動作なしに発生させることと、
    iii)前記タングステンバルク層堆積ステーションにタングステン含有前駆物質、および、還元剤を放つことと、
    を含む、装置。
JP2008310322A 2007-12-05 2008-12-04 タングステン膜の製造方法および装置 Active JP5376361B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/951,236 US7772114B2 (en) 2007-12-05 2007-12-05 Method for improving uniformity and adhesion of low resistivity tungsten film
US11/951,236 2007-12-05

Publications (3)

Publication Number Publication Date
JP2009144242A true JP2009144242A (ja) 2009-07-02
JP2009144242A5 JP2009144242A5 (ja) 2012-01-26
JP5376361B2 JP5376361B2 (ja) 2013-12-25

Family

ID=40722111

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008310322A Active JP5376361B2 (ja) 2007-12-05 2008-12-04 タングステン膜の製造方法および装置

Country Status (2)

Country Link
US (2) US7772114B2 (ja)
JP (1) JP5376361B2 (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011192680A (ja) * 2010-03-11 2011-09-29 Novellus Systems Inc 低抵抗率およびロバストな微接着特性を有するタングステン薄膜の成膜方法
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
KR101356332B1 (ko) * 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
JP2016186094A (ja) * 2015-03-27 2016-10-27 東京エレクトロン株式会社 タングステン膜の成膜方法
JP2017014615A (ja) * 2015-05-27 2017-01-19 ラム リサーチ コーポレーションLam Research Corporation フッ素含有量が少ないタングステン膜
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2020-04-07 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US20110199328A1 (en) * 2010-02-18 2011-08-18 Flextronics Ap, Llc Touch screen system with acoustic and capacitive sensing
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9546419B2 (en) * 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6336866B2 (ja) * 2013-10-23 2018-06-06 株式会社日立国際電気 半導体デバイスの製造方法、基板処理装置およびプログラム
TWI672737B (zh) * 2013-12-27 2019-09-21 美商蘭姆研究公司 允許低電阻率鎢特徵物填充之鎢成核程序
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102572271B1 (ko) 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
TW202020203A (zh) * 2018-07-26 2020-06-01 美商蘭姆研究公司 純金屬膜的沉積
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US11932938B2 (en) * 2019-08-01 2024-03-19 Applied Materials, Inc. Corrosion resistant film on a chamber component and methods of depositing thereof
US11244903B2 (en) * 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
WO2023059381A1 (en) * 2021-10-05 2023-04-13 Applied Materials, Inc. Methods for forming low resistivity tungsten features

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115984A (ja) * 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) * 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) * 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
JP5184731B2 (ja) 2000-05-18 2013-04-17 コーニング インコーポレイテッド 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6908848B2 (en) * 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6905543B1 (en) * 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
EP1608791A2 (en) 2002-12-23 2005-12-28 Applied Thin Films, Inc. Aluminum phosphate coatings
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) * 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7220671B2 (en) * 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7524765B2 (en) * 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
GB2440115A (en) * 2006-07-14 2008-01-23 Alpa Shantilal Pabari Nit and lice removal comb
US8153831B2 (en) * 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08115984A (ja) * 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583385B2 (en) 2001-05-22 2017-02-28 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US9236297B2 (en) 2009-04-16 2016-01-12 Novellus Systems, Inc. Low tempature tungsten film deposition for small critical dimension contacts and interconnects
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9673146B2 (en) 2009-04-16 2017-06-06 Novellus Systems, Inc. Low temperature tungsten film deposition for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US10103058B2 (en) 2009-08-04 2018-10-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP2011192680A (ja) * 2010-03-11 2011-09-29 Novellus Systems Inc 低抵抗率およびロバストな微接着特性を有するタングステン薄膜の成膜方法
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR101356332B1 (ko) * 2010-03-19 2014-02-04 노벨러스 시스템즈, 인코포레이티드 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US9240347B2 (en) 2012-03-27 2016-01-19 Novellus Systems, Inc. Tungsten feature fill
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529722B2 (en) 2015-02-11 2020-01-07 Lam Research Corporation Tungsten for wordline applications
JP2016186094A (ja) * 2015-03-27 2016-10-27 東京エレクトロン株式会社 タングステン膜の成膜方法
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
JP2017014615A (ja) * 2015-05-27 2017-01-19 ラム リサーチ コーポレーションLam Research Corporation フッ素含有量が少ないタングステン膜
US10546751B2 (en) 2015-05-27 2020-01-28 Lam Research Corporation Forming low resistivity fluorine free tungsten film without nucleation
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
US12002679B2 (en) 2020-04-07 2024-06-04 Lam Research Corporation High step coverage tungsten deposition

Also Published As

Publication number Publication date
JP5376361B2 (ja) 2013-12-25
US7772114B2 (en) 2010-08-10
US20100273327A1 (en) 2010-10-28
US20090149022A1 (en) 2009-06-11
US8329576B2 (en) 2012-12-11

Similar Documents

Publication Publication Date Title
JP5376361B2 (ja) タングステン膜の製造方法および装置
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US8853080B2 (en) Method for depositing tungsten film with low roughness and low resistivity
US8551885B2 (en) Method for reducing tungsten roughness and improving reflectivity
US7655567B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US8409987B2 (en) Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
TWI663278B (zh) 極低電阻率鎢之沉積方法
CN110959186B (zh) 在互连金属化中沉积钌层
US8207062B2 (en) Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US9589835B2 (en) Method for forming tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) Method for forming tungsten contacts and interconnects with small critical dimensions
JP2015221940A (ja) 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
JP5729911B2 (ja) タングステン膜の製造方法およびタングステン膜を堆積させる装置
KR101356332B1 (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
TWI541836B (zh) 用於沉積具有低電阻率及堅固微黏附特性之鎢薄膜之方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130827

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130913

R150 Certificate of patent or registration of utility model

Ref document number: 5376361

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250