TWI663278B - 極低電阻率鎢之沉積方法 - Google Patents

極低電阻率鎢之沉積方法 Download PDF

Info

Publication number
TWI663278B
TWI663278B TW103144260A TW103144260A TWI663278B TW I663278 B TWI663278 B TW I663278B TW 103144260 A TW103144260 A TW 103144260A TW 103144260 A TW103144260 A TW 103144260A TW I663278 B TWI663278 B TW I663278B
Authority
TW
Taiwan
Prior art keywords
tungsten
tungsten film
substrate
annealing
forming
Prior art date
Application number
TW103144260A
Other languages
English (en)
Other versions
TW201525174A (zh
Inventor
漢娜 班諾爾克
拉許納 胡瑪雲
王德琪
彥 關
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201525174A publication Critical patent/TW201525174A/zh
Application granted granted Critical
Publication of TWI663278B publication Critical patent/TWI663278B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

在半導體處理中沉積極低電阻率之鎢的方法係揭露於此。本方法涉及在鎢沉積程序期間的不同時間點對基板退火,以達成具有實質上較低電阻率的均勻鎢層。

Description

極低電阻率鎢之沉積方法
本發明係關於沉積方法,尤其關於沉積極低電阻率之鎢的方法。
使用化學氣相沉積(chemical vapor deposition,CVD)技術的鎢膜沉積為半導體製造程序中不可或缺的部分。鎢膜可用水平互連、毗連金屬層之間的通孔、以及矽基板上之第一金屬層與元件間之接點的形式做為低電阻率的電連結。習知的鎢沉積程序中,阻障層沉積在介電質基板上,接著是鎢膜的成核層或種子層的沉積。之後,其餘的鎢膜在成核層上沉積為本體層(bulk layer)。習慣上,鎢的本體層係藉由CVD程序中以氫(H2 )對六氟化鎢(WF6 )的還原所形成。
隨著半導體元件的尺寸趨於越來越小的技術節點,縮小接點及通孔的尺寸使鎢的CVD更具挑戰性。漸增的深寬比可引發元件特徵部內的空隙或大裂縫,導致微處理器與記憶體晶片的較低產率及較差性能。在10:1、20:1或更大之高深寬比的特徵部上,使用習知的CVD鎢沉積技術難以達成無空隙的填充。
所提供者係在半導體基板上沉積低電阻率之鎢的方法。
於一態樣中提供在基板上形成鎢膜的方法。此方法包括設置具有氮化物層的基板、對氮化物層退火、以及在氮化物層上沉積鎢以形成鎢膜,使得氮化物層在鎢沉積之前受到退火。在各實施例中,氮化物層為鈦氮化物層或鎢氮化物層。某些實施例中,鈦氮化物層在約385°C與約445°C之間的溫度下受到退火。諸多實施例中,氬氣在退火期間流動。鎢可由CVD沉積。各實施例中,氮化物層可在用以改變氮化物層內的晶粒結構之條件下受到退火。
另一態樣係形成鎢膜的方法,該方法藉由對基板上的氮化物膜退火、在氮化物膜上沉積本體鎢以形成鎢膜、以及對鎢膜退火。某些實施例中,此方法也包括氮化物膜退火後在氮化物膜上沉積鎢成核層、以及沉積本體鎢前對鎢成核層退火。各實施例中,成核層在約385°C與約445°C之間的溫度受到退火。各實施例中,鎢膜受到不超過1分鐘的退火。退火的壓力可至少為1 Torr。
另一態樣係在反應腔室中的基板上形成鎢膜的方法。此方法包括在基板上沉積鎢以形成鎢膜、以及在至少約為1 Torr的腔室壓力下對鎢膜退火從而降低電阻率。各實施例中,鎢膜在約385°C與約445°C之間的溫度受到退火。在諸多實施例中,於鎢膜的沉積期間使用硼,且鎢膜具有少於約1%的硼成分。在諸多實施例中,鎢膜受到持續時間在約1秒與約10分鐘之間的退火。
另一態樣係於反應腔室中的基板上形成鎢膜的方法。此方法可涉及在一沉積壓力下於基板上沉積鎢以形成鎢膜、以及在該沉積壓力下對鎢膜退火從而降低電阻率。
另一態樣為處理基板的設備,包括(a)一或更多程序腔室,每一腔室包括噴淋頭、台座、與加熱單元以加熱台座;(b) 進入該一或更多程序腔室的一或更多氣體流入口以及相關的流量控制裝備;以及(c) 至少具有一處理器和一記憶體的控制器,該記憶體包括電腦可執行的指令以供運行與所揭露之實施例有關的上述方法。
這些和其他態樣參照圖式在以下進一步說明。
以下的說明中,提出諸多特定細節以提供所呈現之實施例的完善理解。所揭露的實施例可在沒有部份或全部此等特定細節下實施。其他情形中,習知的程序操作未予詳述以免不必要地混淆所揭露的實施例。儘管所揭露的實施例結合特定的實施方式說明,但可了解到這並非意欲限制所揭露的實施例。
隨著元件尺寸趨於更小的技術節點,故在鎢(W)填充有各種的挑戰。一挑戰在於防止因為接點及穿孔處之較薄膜層所致的電阻增加。隨著特徵部變得更小,鎢的接點電阻或線電阻因為在較薄之鎢膜內的散射效應而增加。習知的CVD鎢沉積程序係涉及鈦氮化物(TiN)之阻障層的沉積、接著是成核沉積,然後是CVD本體鎢沉積。儘管高效的鎢沉積程序使用鎢成核層,但此等層體通常具有比本體層更高的電阻率。隨著特徵部變得更小,低電阻率的鎢膜將積體電路設計中的功率損耗及過熱效應降到最低。具有較高電阻率的薄阻障與鎢成核膜佔了較小特徵部的較大百分比。
圖1顯示在孔洞或接點結構100中由成核膜110以及本體鎢材料120所佔據的體積。因為成核層的電阻率高於本體層的電阻率(ρ成核 > ρ本體 ),故應將成核層的厚度最小化以保有盡可能低的總電阻。另一方面,為維持高品質的本體沉積,鎢成核層應有足以完全覆蓋在下之基板的厚度。鎢膜的電阻率取決於所沉積之膜層的厚度。例如,習知方法可產生具有60µΩ-cm之電阻率的50Å鎢膜。另一範例中,習知方法可產生具有30µΩ-cm之電阻率的100Å膜層。
此處所提供者係在基板上沉積極低電阻率之鎢薄膜的方法。本方法涉及在沉積程序的某些時間點以不同條件對層體退火。按照所揭露之實施例而產生的鎢膜具有比習知沉積的鎢膜要低(達)20%的電阻率。
按照各實施方式,退火可在層體沉積後於分離的退火腔室中發生,或可發生在加以改變以容許退火方法的沉積腔室中。某些實施方式中,退火操作可在通常無處理操作、沉積層體與後續的退火之間具有空斷(air break)的情況下實施,而對於鎢沉積或電阻率不會有負面效應。空斷可持續約1秒與約12小時之間。因為基板毋須保持在真空狀態下,故空斷可使退火操作得以在與先前或後續沉積或其他處理操作不同的設備中進行。
某些實施方式中,退火在鎢沉積以前進行。某些實施方式中,退火在鎢沉積以後進行。某些實施方式中,退火在鎢沉積前後均予進行。又,在某些實施方式中,退火可在鎢的成核層沉積後進行。如下所進一步論述,這些態樣導致鎢膜具有改善的電阻率。
按照各實施例,此處所述的方法可用以將鎢沉積在形成於基板上的特徵部內。此特徵部可具有至少10:1、至少15:1、至少20:1、至少25:1或至少30:1的深寬比。特徵部的尺寸可以用特徵部的開口尺寸作為除了深寬比之外或取代深寬比的特徵。某些實施例中的開口可以是從約10nm至約100nm寬。例如在某些實施例中,本方法可利於使用在無論深寬比為何但具有窄開口的特徵部。本方法可進一步有利地用以將鎢沉積在更大及/或更小深寬比的特徵部內、以及沉積毯覆或平面的鎢層。
某些實施例中,凹陷特徵部形成在基板上的介電層內,且特徵部的底部設置對下方金屬層的接點。又在某些實施例中,特徵部包括像是在其側壁及/或底部的襯墊層(如擴散阻障層)。襯墊層的範例包括含有鈦/鈦氮化物(Ti/TiN)的鈦氮化物(TiN)層以及鎢氮化物(WN)。除了擴散阻障層之外或代替擴散阻障層,特徵部可包括像是吸附層、成核層、其結合的層體或任何其他適於對特徵部之側壁及底部襯墊的材料。
某些實施例中,特徵部為凹型孔口的特徵部;其中襯墊層或其他材料形成會部分遮擋特徵部開口的懸伸物。因為許多沉積程序不具有良好的階梯覆蓋性質並導致在場區上及開口附近比在特徵部內有更多的材料沉積,故在開口附近有厚於特徵部內部的襯墊層。為了本說明書的目的,「開口附近」定義為:相當於從場區測起,約0%及約10%間之特徵部深度的附近處或特徵部內的區域(亦即沿著特徵部的側壁)。某些實施例中,開口附近的區域相當於在開口的區域。進一步地,「特徵部內部」係定義為:相當於從特徵部頂部的場區測起,在約20%與約60%間之特徵部深度的附近區域或特徵部內的區域。通常,當某些參數(例如厚度)值係具體指定為「開口附近」的或「在特徵部內」的,則這些值代表在這些位置/區域內所取得的一量測值或多個量測值的平均。某些實施例中,開口附近之下方層體的平均厚度至少比特徵部內部多10%。更為特定的實施例中,此差異可約為至少25%、約為至少50%或約為至少100%。特徵部內的材料分布亦可以其階梯覆蓋率作為特徵。為了本說明書的目的,「階梯覆蓋率」定義為兩厚度(亦即在特徵部內部的材料厚度除以在開口附近的材料厚度) 的比值。某些範例中,襯墊層或其他下方層體的階梯覆蓋率係低於約100%,或更為具體而言,係低於約75%或甚至低於約50%。
此處所說明的實施例可涉及特徵部的鎢成核層及/或本體層沉積。為說明背景,可用於本揭露書之方法的鎢成核層及本體層沉積方法說明提供如下。然而應當注意,也可使用其他成核層及/或本體層沉積的方法。
鎢成核層可沉積在特徵部內以保形地塗佈於特徵部的側壁及底部。大體而言,成核層可以係適合本體材料隨後易於形成在其上的薄型保形層。在下方之特徵部的底部及側壁保形對於維持高品質的沉積而言至為關鍵。可使用多樣的程序以形成成核層,包括但不限於CVD程序、物理氣相沉積(PVD)程序、原子層沉積(atomic layer desposition,ALD)程序以及脈衝成核層(pulsed nucleation layer,PNL)沉積程序。
在PNL技術中,反應物的脈衝通常藉由在反應物之間的沖洗氣體脈衝而依序注入及吹洗離開反應腔室。第一反應物通常會吸附到基板上而可用於與下一反應物反應。此程序以循環方式重複直至達到所需的厚度。PNL類似於ALD技術。PNL通常以其較高的操作壓力範圍(大於1Torr)及其每循環的較高生成率(每一循環有大於1單屬膜的生成)而與ALD有所區別。在PNL沉積期間的腔室壓力範圍可從約1 Torr至約400 Torr。在此處所提供的說明背景中,PNL係廣泛地包含「依序添加供半導體基板上之反應所用的反應物」的任何循環程序。因此,此概念包含慣常稱之為ALD的技術。在所揭露之實施例的背景中,CVD包含在其中將反應物同時引入反應器以供蒸氣相反應所用的程序。PNL及ALD程序係有別於於CVD程序,反之亦然。
使用一或更多PNL循環之成核層的形成論述於全數在此併入作為參考文獻的美國專利第6844258號、第7005372號、 第7141494號、 第7262125號、 第7589017號、第7772114號、第7955972號、以及第8058170號。此等PNL沉積程序涉及將基板曝於各種次序下的還原試劑及鎢前驅體,以生成成核層的所需厚度。用於沉積成核層的PNL-CVD結合方法說明於美國專利第7655567號,該案亦在此併入作為參考文獻。
成核層的厚度要能夠足以維持高品質的沉積。某些實施例中,需求厚度在某種程度上取決於成核層的沉積方法。以低至約12Å的成核膜厚度(與一般50Å的成核膜相比)提供近乎100%階梯覆蓋率的PNL方法可用於某些實施例中。然而無論使用何種沉積成核層的方法,比起習知之較高溫CVD所需的成核層厚度,在某些實施例中用於填充特徵部的低溫CVD操作可容許較薄之成核層的使用。在未以特定理論約束的情況下,吾人相信這可能是因為在較低溫度下的弱化化學性質改善了在即使尚未發展完全之成核點上的生成。可查照例如在此處併入作為參考文獻的美國專利公開案第2010/0267235號。按照各實施例,可形成低至約在10 Å與約15 Å之間的成核層。
某些實施例中,在成核層沉積之後有沉積後的處理操作以改善電阻率。此處理操作在以下進一步說明,且在美國專利第7772114號與第8058170號中更加詳述,該等美國專利均在此處併入做為參考文獻。
接著,CVD沉積可發生於成核層上。本體層的CVD沉積可涉及將含鎢前驅體及像是還原試劑(若然合適)的共同反應物流入沉積腔室中。惰性載體氣體可用於輸送已預先混合或尚未預先混合之反應物流的一或更多者。不若PNL或ALD程序,此操作通常涉及將反應物持續流動直至所需的沉積量。某些實施例中,因為由一或更多的轉換反應物流週期所分隔出的多個連續及同步反應物流週期,故CVD操作可發生於多個階段中。流動也可受到脈衝時間在約1秒與約2秒之間的脈衝。CVD沉積期間的腔室壓力範圍可自約40 Torr至約500 Torr。
CVD反應期間的範例基板溫度可低至250°C且可高至495°C。包括但不限於WF6 、鎢氯化物(WCl6 )以及六羰鎢W(CO)6 的各種含鎢氣體可做為含鎢前驅體。某些實施例中,含鎢前驅體係像是WF6 的含鹵素化合物。雖然可使用其他的還原試劑,但某些實施例中的還原試劑為氫氣,包括矽烷(SiH4 )、二矽烷(Si2 H6 )、聯氨(N2 H4 )、二硼烷(B2 H6 )及鍺烷(GeH4 )。某些實施例中,CVD可實施於像是低溫階段與高溫階段的多種階段中。再進一步地,氮可在本體層的CVD沉積期間受到脈衝,如同在美國專利第8551885號與美國專利公開案第13/633798號中所述,此二案均在此併入作為參考文獻。
圖2提供沉積鎢膜的程序流程圖,其中鈦氮化物的下方層受到退火。方法200涉及設置在其上具有鈦氮化物層之基板的操作202。鈦氮化物層可保形於形成在基板內的高深寬比特徵物。鈦氮化物層的範例厚度可在約30Å與約300Å厚之間。某些實施方式中,鈦氮化物層可以是ALD沉積層、或PVD沉積層、或CVD沉積層。應當注意,對鈦氮化物層的退火已顯示出對ALD層與PVD沉積層兩者有所改良。這代表著退火藉由有別於移除各種雜質或除了移除各種雜質之外的作用來降低電阻率,其中該等雜質依照沉積方法而可能被吸收至膜層中(例如移除從鈦氯化物所沉積之鈦氮化物膜中的氯)。此作用參照圖12而進一步論述於下。
接著在操作204中,鈦氮化物層受到退火。退火可涉及隨需求在高溫下將惰性氣體流動一段時間範圍。氣體的範例包括氬(Ar)、氫(H2 )及其結合。在各實施例中使用氬(Ar)和氫(H2 )的混合物。在諸多實施例中則僅將氬(Ar)流動。某些實施例中,自選的氮基底氣體(像是氮(N2 )或氨(NH3 ))係做為惰性氣體流動。基板溫度可高於在沉積(像是ALD、CVD或PVD)期間的溫度。在各實施例中,基板溫度可高至鈦氮化物層之晶體發生重組的溫度。低電阻率的膜層也可在較低的溫度實現,像是在低於約500°C或低於約450°C的基板溫度。做為一範例,基板溫度範圍可自約385°C至約445°C。
在各實施例中,站點或腔室的壓力可以在約1 mTorr與約760 Torr之間。某些範例中,站點或腔室的壓力可在約1 Torr與約100 Torr之間。某些實施例中,站點或腔室的壓力可至少約為1 Torr。某些實施例中,壓力可以與在鈦氮化物層之ALD、PVD或CVD期間的壓力相同。
在各實施例中,退火步驟可持續約1秒至約10分鐘。在某些實施例中,退火步驟可持續約1秒與約20秒之間。
如下所進一步論述,參照圖7、8A、8B、9、13A與13B,已發現在鎢膜沉積前的TiN層退火會降低其後所沉積之鎢層的電阻率。在不受特定理論約束的情況下,吾人相信氮化物層的退火重新分配了層體中的分子方向,從而在後續步驟中對鎢成核提供較佳的生成表面。鈦氮化物層的退火並未改變化學組成也未導致矽化物層的形成。鈦氮化物阻障層的結構亦可有所不同以及有所改善以提供較佳的鎢成核。較佳的成核導致對表面的較佳吸附,從而降低電阻率並提供更為平滑、更為均勻的鎢層。進一步地,雖然圖2係參照TiN下層體,吾人相信其他下層體(包括像是WN的氮化物)的退火亦可具有類似的優點。
操作206中,鎢的本體層以CVD沉積在基板上。按照各實施例,操作206之前是以上所述的鎢成核沉積。某些實施例中,使用以上參照操作204所論述之任何條件的另一退火操作可發生在鎢成核之後但在鎢本體沉積之前。
某些實施例中,從操作204過渡到206涉及將基板從一沉積站點移動至另一沉積站點,這可發生於多站點腔室中。操作204與操作206的每一者可在相同或不同的腔室中進行。若在相同腔室中進行,則它們可各自在同一多站點腔室的相同或不同站點進行或在一單站點腔室中進行。又,操作204可在沉積腔室之外的分離腔室中進行,其中分離腔室可以處於或未必處於與進行操作206相同的真空環境中。
圖3提供在另一實施例中沉積鎢的程序流程圖。在方法300的操作302中,沉積鎢的本體層。沉積的方法可以是以上論述中的任一者。其次,在操作304中,鎢層受到退火以降低電阻率。除了可在退火期間使用非氮大氣之外,退火條件可以是先前參照圖2所論述中的任一者。大體而言,儘管可從鎢層中移除某些雜質,退火操作並未改變鎢層的化學組成。因此,可避開含氮或含矽大氣以防止氮化物或矽化物層的形成。
對60Å的鎢層來說,電阻率的範例為41µΩ-cm,而對110Å的鎢層而言則為26µΩ-cm。與未退火的鎢層相比,電阻率的降低百分比可在約5%與約35%之間。
操作302與操作304中的每一者可在同一多站點腔室中的不同站點中進行,或者在單一或多站點腔室中的相同站點中進行。再進一步的,它們可以在或許是或未必是同一真空環境之一部分中的不同腔室中進行。
使用單一站點進行操作302與304的實施例中,從操作302過渡到304可涉及在提高基板溫度的同時關閉鎢前驅體流(允許氫或其他還原氣體及/或載體氣體在操作中進行)。
圖4說明在填充程序之不同階段的特徵部剖面範例概要圖示,其中在填充程序中CVD層予以沉積及退火。剖面401代表在任意鎢沉積之前的範例特徵部410。此範例中,特徵部410形成在介電層430內、在基板的上表面405具有開口420、並包括像是TiN層的襯墊層413。某些實施例中,因為如圖4所繪之襯墊層413的懸伸物415,故開口425附近的凹部尺寸窄於特徵部內的凹部尺寸。
剖面411描述進行CVD以使本體層填充特徵部之後的特徵部。注意,此圖並未繪製成核層。某些實施例中, CVD至少要進行到特徵部的轉角417(基板從平面區轉變為凹陷特徵部之處)以CVD的鎢所覆蓋。如下所進一步論述,在之後有退火步驟的CVD的鎢具有低電阻率,產生絕佳的鎢柱塞。
剖面421代表進行CVD後以及退火後以產生受退火之CVD鎢層455的特徵部。在不受特定理論所拘束的情況下,吾人相信在鎢沉積於基板上之後,高溫退火會導致雜質從鎢膜中淨化去除。例如,退火步驟可從鎢膜中釋放硼,產生整體具有較少之原子硼成分與較低之電阻率的鎢膜。
圖5提供沉積鎢層步驟的程序流程圖,此圖顯示在本體鎢沉積前後包括退火。在方法500的操作502中,鈦氮化物層沉積在基板上。沉積方法與沉積條件可以是以上參照圖2所論述中的任一者。操作504中,鈦氮化物層受到退火。在此可使用與圖2有關之退火條件中的任一者。接著,在操作506中沉積本體鎢層。沉積鎢層的條件與方法可以是那些參照圖3所論述中的任一者。在此操作期間,自選的成核層可在沉積本體鎢之前沉積。成核層亦可如參照圖2所論述般地受到退火。最後在操作508中,本體鎢層受到退火。在此操作中,除了在退火期間可使用非氮大氣之外,其條件可以是那些參照圖2所論述中的任一者。此方法中,可實施鎢沉積前退火步驟與鎢沉積後退火步驟兩者。如上所指出,儘管這可從鎢層中移除某些雜質,退火操作並未改變鎢層的化學組成。因此,可避開含氮或含矽大氣以防止氮化物或矽化物層的形成。
某些實施例中,從操作504過渡到506或從506過渡到508涉及將基板從一沉積站點移至另一沉積站點,這可發生在多站點的腔室中。這些操作的每一者可在相同或不同的腔室中進行。若在相同的腔室進行,則它們各自在同一多站點腔室中的相同或不同站點中進行或在單一站點的腔室中進行。又,退火操作可在沉積腔室之外的分離腔室中進行,其中分離腔室可能處於或未必處於與進行沉積操作相同的真空環境中。
使用單一站點進行操作506與508的另一可選實施例中,從操作506過渡到508可涉及在提高基板溫度的同時關閉鎢前驅體流(容許氫或其他還原氣體及/或載體氣體在操作中運轉)。一旦基板的溫度穩定下來,則鎢前驅體和其他氣體(如需要)即流入反應腔室以供高溫沉積之用。 設備
所揭露之實施例的方法可在能購自各廠商之各種類型的沉積設備中完成。合適之設備的範例包括Lam Concept 1 Altus、Concept 2 Altus、Concept 2 Altus-S、Concept 3 Altus沉積系統,或各種其他商業上可購得之CVD設備的任一者。某些情況中,程序可在多個沉積站點上相繼進行。某些實施例中,退火步驟可在一站點進行,該站點係位於單一沉積腔室中之二、四、五或甚至更多沉積站點的其中一者。某些實施例中,退火步驟可在與用於CVD之沉積腔室分離的另一腔室的站點進行。各實施例中,既存的沉積站點可予以改良以容許退火步驟。腔室中的一或更多站點可用於進行CVD,或者二或更多站點可用於平行處理進行CVD。
根據所揭露的實施例,圖6係適於進行鎢薄膜沉積程序的處理系統方塊圖。系統600包括傳輸模組603,該模組提供潔淨、加壓的環境以在基板移動於各反應模組之間時,使得受處理之基板的汙染風險降至最低。按照所揭露的實施例,安裝於傳輸模組603上者係能進行PNL沉積、多脈衝處理(若需要)、CVD及退火步驟的多站點反應器609。腔室609可包括能連續進行這些操作的多個站點611、613、615與617。例如,腔室609可加以設置,使得站點611進行PNL沉積、站點613進行多脈衝處理、站點615進行CVD而站點617進行退火。
亦安裝於傳輸模組603上者係能夠進行電漿或化學(非電漿)前潔淨的一或更多單一或多站點模組607。此模組也可用於多種其他處理,例如鈦氮化物阻障層沉積或襯墊層後的鎢氮化物處理。又再進一步的,模組607可以是退火模組。系統600也包括在處理前後在該處儲存晶圓的一或更多晶圓來源模組601。在大氣傳輸腔室619中的大氣機器人(圖未示)首先將晶圓從來源模組601中調動至負載閘621。在傳輸模組603中的晶圓傳輸裝置(通常是機器手臂單元)將晶圓從負載閘621移動至安裝於傳輸模組603上的模組及該等模組之間。
某些實施例中,系統控制器650可用於在沉積期間控制程序條件。控制器650通常將包括一或更多記憶體元件及一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連結、步進馬達控制器板等等。
控制器650可控制沉積設備的全部作業。系統控制器650執行系統控制軟體,包括用以控制時間點、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓夾具或台座位置、及特定程序之其他參數的指令組。儲存在與控制器650相關之記憶體元件的其他電腦程式可用於同一實施例中。
通常有與控制器650相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或程序條件的圖像軟體顯示器、以及像是指標裝置、鍵盤、觸控螢幕、麥克風等等的使用者輸入裝置。
系統控制邏輯可用任何合適的方式所設置。大體而言,邏輯可設計或設置於硬體及/或軟體中。換言之,用於控制驅動電路的指令可以是硬編碼的或以軟體提供。指令集可說是經由「程式化」而設置。應了解到,此等「程式化」包括任何形式的邏輯,這包括在數位信號處理器、特定應用積體電路以及將特定演算法實施為硬體之其他元件中的硬編碼邏輯。也應將此等「程式化」理解為包括可在通用目的之處理器上執行的軟體或韌體指令。系統控制軟體可用任何適當的電腦可讀程式語言而加以編碼。
在程序序列中用於控制沉積、退火及其他程序的電腦程式碼可以用任何習知的電腦可讀程式語言所撰寫:例如組合語言、C、C++、Pascal、Fortran或其他。編譯過的物件碼或腳本係由處理器執行以進行在程式內所界定的任務。又,如同所指出的,程式碼可以是硬編碼的。
控制器參數係關於程序條件,例如程序氣體組成與流速、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度。這些參數可以用配方的形式提供給使用者,並且可利用使用者介面輸入。
用於監測程序的信號可由系統控制器650的類比及/或數位輸入連結所提供。用於控制程序的信號在沉積設備的類比及數位輸出連結上輸出。
系統軟體可用諸多不同的方式所設計或設置。例如可撰寫各種腔室的零部件子程序或控制物件,以控制要完成按照所揭露之實施例的沉積程序所需的腔室零部件操作。用於此目的的程式或程式之部分的範例包括基板放置碼、程序氣體控制碼、壓力控制碼以及加熱器控制碼。
基板放置程式可包括用於控制腔室零部件的程式碼,腔室零部件係用於將基板裝載至台座或夾具上並控制基板與其他腔室部件(像是氣體流入口及/或標靶)之間的間隔。程序氣體控制程式可包括用於控制氣體的組成與流速、以及選擇性地在沉積之前用於將氣體流入腔室以穩定腔室內之壓力的編碼。壓力控制程式可包括藉由調節例如腔室之排氣系統的節流閥以控制腔室內之壓力的編碼。加熱控制程式可包括控制流向加熱單元(用於加熱基板)之電流的編碼。另一可選地,加熱器控制程式可控制熱傳遞氣體(像是氦) 輸送到晶圓夾具。
在沉積期間可受到監測的腔室感應器範例包括質量流控制器、像是壓力計的壓力感測器、以及位於台座或夾具的熱電耦。適當程式化的反饋與控制演算法可與來自感測器的數據一起使用以維持所需的程序條件。
以上說明了所揭露之實施例在單一或多腔室之半導體處理設備中的實施方式。
此處所說明的設備及程序可與微影的圖案化設備或程序一起使用以供例如半導體元件、顯示器、LED、太陽能面板及其類似物的製造或量產所用。儘管並非必須,此等設備/程序通常將在常見的製造設施中一起使用或進行。膜層的微影圖案化通常包括部分或全部的以下步驟,每一步驟可由諸多可行的設備所提供:(1) 使用旋轉或噴灑設備在工件(亦即基板)上塗佈光阻;(2) 使用加熱板或爐管或紫外光固化設備固化光阻;(3) 使用像是晶圓步進器的設備將光阻對可見光或UV光或X射線曝光;(4) 使用像是濕式清洗台的設備將光阻顯影以利選擇性地移除光阻並從而將其圖案化;(5) 藉由使用乾式或電漿輔助蝕刻方法以將光阻圖案轉印至下方膜層或工件;以及(6) 使用像是RF或微波電漿光阻剝除劑的方法移除光阻。 實驗
進行實驗以測定在各種時間與溫度下的基板退火效果。在這些實驗中,晶圓係使用成核步驟、自選的處理步驟、CVD步驟、以及退火步驟而處理。為了此等實驗的目的,各實驗中對成核步驟使用兩組條件。
A組指用於成核站點的以下條件組。基板溫度設為250°C且B2 H6 及WF6 依序流動兩循環。具有1秒之B2 H6 吹洗時間以及1秒之WF6 吹洗時間的B2 H6 轉換(not sure)設定在1秒。WF6 的流速約為180 sccm。在設備前部的H2 流量約為27000 sccm而設備後部的H2 流量約為3000 sccm。
B組指用於成核站點的以下條件組。基板溫度設為250°C且B2 H6 及WF6 流動兩循環。在2秒之B2 H6 吹洗時間以及2秒之WF6 吹洗時間的情況下,B2 H6 轉換(not sure)設定在1.5秒。WF6 的流速約為220 sccm。在設備前部的H2 流量約為25000 sccm而設備後部的H2 流量約為10000 sccm。
用於自選處理的第二步驟涉及在325°C的基板溫度下使基板受到五次的B2 H6 脈衝流循環。接著,鎢的CVD步驟可涉及在325°C 下的H2 與WF6 流動以及鎢沉積。多種的退火步驟條件使用在以下的實驗中。 實驗1
量測使用各種退火時間所沉積之鎢膜的電阻率。為了本實驗的目的而使用A組條件。300 Å的鈦氮化物基板用於此實驗中。鎢沉積之後,基板在445°C的溫度下使用Ar流動來退火。氬流速約為6000 sccm。進行試驗以測定約為60 Å之鎢膜與約為90Å之鎢膜兩者的電阻率。此結果總結於圖7。
圓點代表未受退火步驟之膜層電阻率。方點代表在鎢沉積後使用了20秒之退火的膜層電阻率。與代表未受退火的數據點相比,電阻率大幅地降低。三角點代表在鎢沉積後使用10分鐘之退火的膜層電阻率。與代表未受退火的數據點相比,電阻率進一步地降低。注意,在20秒退火與10分鐘退火之間的差別幾乎與在20秒退火及未受退火之間的差別相同,因此這暗示有「臨界退火時間」的存在,使得在某一時間點上,即使進一步退火也仍將產生相同的電阻率。儘管如此,短如20秒的沉積後退火可實現實質上較低電阻率的膜層,如圖7所示。吾人更進一步地相信短如1~5秒的沉積後退火也可改善電阻率。 實驗2
進行實驗以測定變動基板之退火溫度的效應。以上列舉的A組條件係用於在基板之300 Å的鈦氮化物阻障層上沉積鎢膜。鎢膜的厚度約在86.5Å與90Å之間。基板在鎢沉積之後以不同溫度使用20秒的氬流動退火。藉由在退火前量測基板的電阻率以及在退火後、特定溫度下量測相同基板的電阻率來決定數據點。本實驗的結果顯示於圖8A與8B。
圖8A中,方形點代表以各溫度退火之基板的電阻率。菱形點代表這些受退火之基板的每一者在退火步驟以前的電阻率。例如在395°C下,基板在退火前具有約40.8 µΩ-cm的電阻率,但在退火後具有低至39.6 µΩ-cm的電阻率,產生約3.0%的電阻率改善。電阻率的改善(或降低之電阻率的趨勢)顯示於圖8B。進行後續的試驗以比較在445°C經過10分鐘之退火的鎢膜電阻率落差(圖未示)。所產生的電阻率落差約為12.0%,大幅高於使用20秒退火的電阻率落差。根據此結果,電阻率的差異係隨著退火溫度增加而增加,因而展現出較高的電阻率落差以及較佳、較低電阻率的鎢膜。此數據暗示在較高溫度使用較長的退火時間可達到最佳的電阻率落差並從而有極低電阻率的鎢膜。 實驗3
進行一系列的實驗以測定退火時間點對電阻率的效應。在第一實驗中,以上列舉的A組條件係用於在其上沉積有30 Å之鈦氮化物阻障層的基板上。測試三種差異:(1) 「W前退火」:涉及在任何鎢沉積之前的退火;(2) 「W前與W後退火」:涉及在鎢沉積之前後兩者的退火;以及(3) 「W後退火」:涉及在鎢沉積之後的退火。為了比較,進行未受退火步驟的鎢膜測試。退火步驟涉及使用Ar或Ar/H2 流動在445°C退火10分鐘。此結果顯示於圖9。
如圖所示,未受基板退火步驟所沉積的基板具有最高的電阻率。與使用W後退火的75Å鎢膜(產生約38µΩ-cm的電阻率)相比,則相同厚度的鎢膜(未受退火)有約為40µΩ-cm的電阻率。與使用W前與W後退火兩者時相比, W前退火的單獨使用在約82Å厚度的鎢膜上有較高的電阻率。此數據暗示,用於實現極低電阻率之鎢膜的最佳條件係在基板上鎢沉積的前後均予以退火。
在第二實驗中,以上列舉的B組條件用於基板上的300Å鈦氮化物阻障層。在設備之前部及後部兩者的H2 流速分別為20000 sccm或30000 sccm與1000 sccm或15000 sccm。在鎢沉積後,基板使用氬(或Ar/H2 )在445°C下受到退火10分鐘。量測四個基板之每一者在退火前後的電阻率,如下表1所示。
本數據暗示:無論H2 流量的變化為何,使用鎢沉積後的退火實質降低了電阻率,而較厚的鎢層顯示出較高的薄膜電阻率減少率。但是因為有鎢膜具有低至36.19µΩ-cm的電阻率,故仍可實現極低電阻率的鎢膜。 實驗4
進行實驗以評估所沉積之鎢膜的原子性質。相比於受到退火的基板,未受退火的基板顯示出更高的原子硼成分。比較各自具有300 Å之鈦氮化物阻障層的兩晶圓。第一晶圓經歷以上列舉的B組條件而受沉積。所產生之具有127Å鎢層的晶圓使用X射線光電子能譜評估,指出有5.107%的原子硼成分。除了具有成核步驟後之額外的退火步驟、以及替代最後CVD沉積(像是沉積本體鎢之低溫鎢CVD沉積)的額外退火步驟之外,第二晶圓經歷以上列舉的B組條件而受沉積 。使用具有流速約為6000sccm的氬流動或具有流速分別約為6000 sccm與約7000sccm的Ar/H2流動,91Å 的鎢晶圓在445°C受到10分鐘的退火。使用X射線光電子能譜評估硼成分。令人驚訝地,受退火之基板內的硼成分僅具有0.555%的原子成分,與未受退火的晶圓相比,這代表硼成分大幅減少了10倍。這證實了「退火可純化鎢層使得像是硼的氣體得以釋放而降低電阻率」的理論。
在具有不同厚度之鈦氮化物的基板上進行實驗。這些實驗中的所有退火步驟涉及在445°C以具有流速約為6000sccm的氬流動或具有流速分別約為6000 sccm與約7000 sccm的Ar/H2流動受到退火10分鐘。具有30Å之鈦氮化物阻障層的第一晶圓受到以上列舉的A組條件,包括在鎢沉積前後的退火。量測在蝕刻時間期間的硼成分並以1001代表而顯示於圖10A。具有30Å之鈦氮化物阻障層的第二晶圓受到以上列舉的A組條件,包括鎢沉積以後的退火。量測在蝕刻時間期間的硼成分並以1002代表而顯示於圖10A。具有30Å之鈦氮化物阻障層的第二晶圓受到以上列舉的B組條件,包括成核以後的退火和鎢之本體沉積以後的退火。量測在蝕刻時間期間的硼成分並以1003代表而顯示於圖10A。注意,三個晶圓均展現明顯少量、低於0.7%的原子硼成分。
300Å之鈦氮化物阻障層沉積在下一晶圓上。此晶圓受到以上列舉的B組條件但不包括退火步驟。此未受退火之晶圓的硼成分由呈現於圖10B中之「未受退火」的實線所代表。最後,300Å之鈦氮化物阻障層沉積在最後一個晶圓上。此晶圓受到以上列舉的B組條件,包括在成核之後的退火以及在鎢之本體沉積之後的退火。量測在蝕刻時間期間的硼成分並且以圖10B中標記為「受到退火」的虛線所代表。如圖所示,受到退火的晶圓具有低於1%的原子硼成分,這明顯低於未受退火之晶圓中可高至超過5%的硼成分。
整體而言,圖10A與10B兩者均暗示:硼成分可對於在基板上所沉積之鎢膜的電阻率有所貢獻。 實驗5
進行實驗以測定退火對所沉積之層體均勻性的影響。圖11顯示沉積之鈦氮化物以及未受退火步驟之鎢層的影像。如圖11所示,於鈦氮化物層與鎢層之間存在著一介面層。
相反地,圖12顯示鈦氮化物層與受退火之鎢層的影像。在這些影像中,鎢在對基板以445°C退火20秒之前沉積。圖12顯示介面層的大幅減少以及所產生之膜層的均勻性。這是個令人吃驚的結果,因為層體是平滑並具有低電阻率的,而具有低電阻率的習知鎢膜則因為膜層的粒度而傾向較不平滑。此現象可對「退火使鎢膜的晶粒重新排列從而降低電阻率」的理論提供支持。 實驗6
進行一連串的實驗以測定在退火期間所使用的氣體種類是否會影響基板上所沉積之鎢的最終電阻率。在第一實驗中,使用具有300 Å之鈦氮化物阻障層的基板。使用以上列舉的B組條件,並在未受退火的晶圓、以氬及氫退火的晶圓、以及僅以氬退火的晶圓之間做出比較。退火步驟在鎢沉積之後以445°C發生並經過10分鐘。電阻率的結果顯示於圖13A。菱形點代表未受退火的晶圓電阻率量測,而方形點代表以Ar/H2 退火的晶圓電阻率量測,而三角點代表僅以Ar退火的晶圓電阻率量測。代表Ar/H2 退火及Ar退火的點在相似的鎢厚度時極為類似,因此這暗示不論是Ar/H2 或Ar的使用均適於退火。
相似的趨勢產生於第二實驗中。在第二實驗中,使用具有300 Å之鈦氮化物阻障層的基板。使用以上列舉的A組條件,且晶圓在鎢沉積之後,單獨使用Ar及使用Ar/H2 於445°C下受到退火10分鐘。為了比較,使用以上列舉之A組條件所沉積的基板並未受到退火。在這些晶圓之每一者上所產生的電阻率繪於圖13B。菱形點代表未受退火之晶圓電阻率的量測。方形點代表以Ar/H2 退火的晶圓電阻率量測,而三角點代表僅以Ar退火的晶圓電阻率量測。與圖13A類似,以Ar及Ar/H2 退火之晶圓的最終電阻率在所沉積之鎢厚度相似時極為類似,因而暗示了Ar或Ar/H2 均可在退火程序期間使用。 結論
儘管上述的實施例已為了清楚了解的目的而以某些細節所說明,但某些變化及改良可顯而易知地在所附加之申請專利範圍的範疇內實施。應當注意,有許多實施此等實施例之程序、系統及設備的其他可選方法。因此此等實施例應視為解釋而非限制,而實施例不應受限於此處所提出的細節。
100‧‧‧結構
110‧‧‧成核膜
120‧‧‧本體鎢材料
200‧‧‧方法
401‧‧‧剖面
405‧‧‧頂表面
410‧‧‧特徵部
411‧‧‧剖面
413‧‧‧襯墊層
415‧‧‧懸伸物
417‧‧‧轉角
420‧‧‧開口
421‧‧‧剖面
430‧‧‧介電層
455‧‧‧受退火之CVD鎢層
600‧‧‧系統
601‧‧‧晶圓來源模組
607‧‧‧站點模組
609‧‧‧傳輸模組
609‧‧‧反應器
611、613、615、617‧‧‧站點
619‧‧‧大氣傳輸腔室
621‧‧‧負載閘
650‧‧‧系統控制器
按照某些實施例,圖1為以鎢成核層及本體層所填充之特徵部的概要圖。
按照各實施例,圖2為沉積鎢的程序流程圖。
按照各實施例,圖3為沉積鎢的程序流程圖。
按照某些實施例,圖4描寫在程序之不同階段的特徵部剖面概要圖。
按照各實施例,圖5為沉積鎢的程序流程圖。
按照所揭露的實施例,圖6顯示用於實施方法的處理系統方塊圖。
按照各實施例,圖7顯示代表退火時間的實驗數據圖。
按照各實施例,圖8A與8B顯示代表退火溫度的實驗數據圖。
按照各實施例,圖9顯示代表退火步驟之時間點的實驗數據圖。
按照各實施例,圖10A與10B顯示代表硼成分的實驗數據圖。
圖11顯示沉積層的影像。
按照各實施例,圖12顯示沉積層的影像。
按照各實施例,圖13A與13B顯示代表化學物流的實驗數據圖。

Claims (28)

  1. 一種在基板上形成鎢膜的方法,該方法包括:設置具有一氮化物層的一基板;對該氮化物層退火;藉著將氣相含鎢前驅體以及含硼反應物導入容納該基板的腔室中,直接在該氮化物層上沉積鎢以形成該鎢膜,以及對該鎢膜退火,其中該氮化物層在鎢沉積之前受到退火,其中該氮化物層在約385℃與約445℃之間的溫度受到退火,並且其中對該鎢膜退火使該鎢膜之硼含量減少為1/10。
  2. 如申請專利範圍第1項所述之在基板上形成鎢膜的方法,其中該氮化物層為一鈦氮化物層或一鎢氮化物層。
  3. 如申請專利範圍第1項所述之在基板上形成鎢膜的方法,其中直接在該氮化物層上沉積鎢以形成該鎢膜包含:在對該氮化物膜退火之後,於該氮化物膜上沉積一鎢成核層、以及對該鎢成核層退火。
  4. 如申請專利範圍第3項所述之在基板上形成鎢膜的方法,其中該成核層在約385℃與約445℃之間的溫度受到退火。
  5. 如申請專利範圍第1~4項中之任一項所述之在基板上形成鎢膜的方法,其中鎢係藉由化學氣相沉積所沉積。
  6. 如申請專利範圍第1~4項中之任一項所述之在基板上形成鎢膜的方法,其中氬氣在該氮化物層退火與該鎢膜退火之其中至少一者的期間流動。
  7. 如申請專利範圍第1~4項中之任一項所述之在基板上形成鎢膜的方法,其中該鎢膜受到不超過1分鐘的退火。
  8. 如申請專利範圍第1~4項中之任一項所述之在基板上形成鎢膜的方法,其中在該鎢膜退火期間的壓力至少為1Torr。
  9. 如申請專利範圍第1~4項中之任一項所述之在基板上形成鎢膜的方法,其中該鎢膜在該氮化物層上成核。
  10. 如申請專利範圍第1~4項中之任一項所述之在基板上形成鎢膜的方法,其中對該氮化物層退火不改變該氮化物層之組成。
  11. 一種在反應腔室中的基板上形成鎢膜的方法,該方法包括:藉著將氣相含鎢前驅體以及含硼反應物導入容納該基板的腔室中,在該基板上沉積鎢至介於約30Å與約300Å之間的厚度,以形成該鎢膜;以及對該鎢膜退火,從而降低電阻率,其中該鎢膜在至少約為1Torr的腔室壓力下受到退火,並且其中對該鎢膜退火使該鎢膜之硼含量減少為1/10。
  12. 如申請專利範圍第11項所述之在反應腔室中的一基板上形成鎢膜的方法,其中該鎢膜在約385℃與約445℃之間的溫度受到退火。
  13. 一種在反應腔室中的基板上形成鎢膜的方法,該方法包括:藉著將氣相含鎢前驅體以及含硼反應物導入容納該基板的腔室中,在一沉積壓力下,在該基板上沉積鎢至介於約30Å與約300Å之間的厚度,以形成該鎢膜;以及對該鎢膜退火從而降低電阻率,其中該鎢膜係在該沉積壓力下受到退火,並且其中對該鎢膜退火使該鎢膜之硼含量減少為1/10。
  14. 如申請專利範圍第11~13項中之任一項所述之在反應腔室中的基板上形成鎢膜的方法,其中該鎢膜具有低於約1原子百分比的硼含量。
  15. 如申請專利範圍第11~13項中之任一項所述之在反應腔室中的基板上形成鎢膜的方法,其中該鎢膜受到持續期間在約1秒與約10分鐘之間的退火。
  16. 如申請專利範圍第11~13項中之任一項所述之在反應腔室中的基板上形成鎢膜的方法,其中該鎢膜在非氮大氣中受到退火。
  17. 如申請專利範圍第11~13項中之任一項所述之在反應腔室中的基板上形成鎢膜的方法,其中對該鎢膜退火不改變該鎢層的化學組成。
  18. 如申請專利範圍第11~13項中之任一項所述之在反應腔室中的基板上形成鎢膜的方法,其中該鎢膜在非矽大氣中受到退火。
  19. 如申請專利範圍第11~13項中之任一項所述之在反應腔室中的基板上形成鎢膜的方法,其中對該鎢膜退火降低約5%與約35%之間的電阻率。
  20. 如申請專利範圍第13項所述之在反應腔室中的基板上形成鎢膜的方法,其中該反應腔室為一多站點反應器,且沉積鎢以及對該鎢膜退火係在該多站點反應器之不同站點中進行。
  21. 如申請專利範圍第13項所述之在反應腔室中的基板上形成鎢膜的方法,其中在沉積鎢之後且在對該鎢膜退火之前,停止鎢前驅體的流動。
  22. 如申請專利範圍第21項所述之在反應腔室中的基板上形成鎢膜的方法,其中該鎢膜在約385℃與約445℃之間的溫度受到退火。
  23. 如申請專利範圍第13項所述之在反應腔室中的基板上形成鎢膜的方法,其中該沉積壓力係介於約1mTorr與約760Torr之間。
  24. 如申請專利範圍第23項所述之在反應腔室中的基板上形成鎢膜的方法,其中該沉積壓力係介於約1Torr與約100Torr之間。
  25. 一種在基板上形成鎢膜的方法,該方法包括:設置具有一氮化物層的一基板;改變該氮化物層之晶粒結構;以及藉著將氣相含鎢前驅體以及含硼還原劑導入容納該基板的腔室中,直接在該氮化物層上沉積鎢以形成該鎢膜,其中在沉積鎢之前改變該氮化物層之晶粒結構,並且其中形成在該氮化物層上之該鎢膜具有低於1原子百分比的硼含量,其中改變該氮化物層之晶粒結構包含對該氮化物層退火。
  26. 一種處理基板的設備,該設備包括:(a)一或更多程序腔室,每一腔室包括一噴淋頭、一台座、以及用以加熱該台座的一加熱單元;(b)進入該一或更多程序腔室的一或更多氣體流入口以及相關的流量控制器件;以及(c)一控制器,具有至少一處理器與一記憶體,該記憶體包括用以執行申請專利範圍第1~4、11~13、及20~25項中之任一項的方法的電腦可執行之指令。
  27. 如申請專利範圍第1、11、13、25項中之任一項所述之方法,其中該基板包含至少一特徵部,該特徵部具有至少約10:1的深寬比。
  28. 如申請專利範圍第1、11、13項中之任一項所述之方法,其中對該氮化物層的退火係在改變該氮化物層之晶粒結構的條件下進行。
TW103144260A 2013-12-19 2014-12-18 極低電阻率鎢之沉積方法 TWI663278B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/135,375 US9589808B2 (en) 2013-12-19 2013-12-19 Method for depositing extremely low resistivity tungsten
US14/135,375 2013-12-19

Publications (2)

Publication Number Publication Date
TW201525174A TW201525174A (zh) 2015-07-01
TWI663278B true TWI663278B (zh) 2019-06-21

Family

ID=53400820

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144260A TWI663278B (zh) 2013-12-19 2014-12-18 極低電阻率鎢之沉積方法

Country Status (3)

Country Link
US (2) US9589808B2 (zh)
KR (2) KR102424080B1 (zh)
TW (1) TWI663278B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9991362B2 (en) * 2016-09-30 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including tungsten gate and manufacturing method thereof
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
WO2020028587A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
KR20220139417A (ko) 2019-01-28 2022-10-14 램 리써치 코포레이션 금속 막들의 증착
CN113557320A (zh) 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11798845B2 (en) 2020-10-28 2023-10-24 Applied Materials, Inc. Methods and apparatus for low resistivity and stress tungsten gap fill
WO2023059381A1 (en) * 2021-10-05 2023-04-13 Applied Materials, Inc. Methods for forming low resistivity tungsten features

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW434708B (en) * 1998-12-22 2001-05-16 Hyundai Electronics Ind Method for forming gate electrodes of semiconductor device
US20090149022A1 (en) * 2007-12-05 2009-06-11 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JPS62216224A (ja) 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
JPS62260340A (ja) 1986-05-06 1987-11-12 Toshiba Corp 半導体装置の製造方法
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
EP0437110B1 (en) 1990-01-08 2001-07-11 Lsi Logic Corporation Structure for filtering process gases for use with a chemical vapour deposition chamber
KR100209856B1 (ko) 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (ko) 1992-12-10 1995-10-20 현대전자산업주식회사 반도체소자의 텅스텐 콘택 플러그 제조방법
KR970009867B1 (ko) 1993-12-17 1997-06-18 현대전자산업 주식회사 반도체 소자의 텅스텐 실리사이드 형성방법
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08115984A (ja) 1994-10-17 1996-05-07 Hitachi Ltd 半導体装置及びその製造方法
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (ja) 1995-03-03 1998-04-08 日本電気株式会社 半導体装置及びその製造方法
JPH0927596A (ja) 1995-07-11 1997-01-28 Sanyo Electric Co Ltd 半導体装置の製造方法
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5633200A (en) * 1996-05-24 1997-05-27 Micron Technology, Inc. Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
KR100214852B1 (ko) 1996-11-02 1999-08-02 김영환 반도체 디바이스의 금속 배선 형성 방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100255516B1 (ko) 1996-11-28 2000-05-01 김영환 반도체 장치의 금속배선 및 그 형성방법
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
JP3090074B2 (ja) 1997-01-20 2000-09-18 日本電気株式会社 半導体装置及びその製造方法
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JPH11260759A (ja) 1998-03-12 1999-09-24 Fujitsu Ltd 半導体装置の製造方法
US6452276B1 (en) 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (ko) 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
JP3206578B2 (ja) 1999-01-11 2001-09-10 日本電気株式会社 多層配線構造をもつ半導体装置の製造方法
JP4570704B2 (ja) 1999-02-17 2010-10-27 株式会社アルバック バリア膜製造方法
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (ja) 2000-05-19 2005-05-25 株式会社村田製作所 電極膜の形成方法
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
JP2004514289A (ja) 2000-11-17 2004-05-13 東京エレクトロン株式会社 金属配線の形成方法および金属配線形成用半導体製造装置
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100375230B1 (ko) 2000-12-20 2003-03-08 삼성전자주식회사 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법
KR100399417B1 (ko) 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (ko) 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP4595989B2 (ja) 2001-08-24 2010-12-08 東京エレクトロン株式会社 成膜方法
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
TWI253478B (en) 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
KR20030050652A (ko) * 2001-12-19 2003-06-25 주식회사 하이닉스반도체 텅스텐막의 형성 방법
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
KR100446300B1 (ko) 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
WO2005003033A2 (en) 2002-12-23 2005-01-13 Applied Thin Films, Inc. Aluminum phosphate coatings
JP2004235456A (ja) 2003-01-30 2004-08-19 Seiko Epson Corp 成膜装置、成膜方法および半導体装置の製造方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
JP2005029821A (ja) 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (ja) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6924223B2 (en) 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
KR100557626B1 (ko) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
US20050139838A1 (en) 2003-12-26 2005-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
KR100528030B1 (ko) * 2003-12-30 2005-11-15 주식회사 아이피에스 박막 증착 방법
KR101108304B1 (ko) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
CN100370585C (zh) 2004-04-12 2008-02-20 株式会社爱发科 隔离膜的形成方法及电极膜的形成方法
US8087966B2 (en) 2004-04-21 2012-01-03 Koninklijke Philips Electronics N.V. Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (ko) 2005-01-31 2006-11-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (ja) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4864368B2 (ja) 2005-07-21 2012-02-01 シャープ株式会社 気相堆積方法
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007250907A (ja) 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7557047B2 (en) 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
KR100884339B1 (ko) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
KR100705936B1 (ko) 2006-06-30 2007-04-13 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100894769B1 (ko) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20080036679A (ko) 2006-10-24 2008-04-29 삼성전자주식회사 불 휘발성 메모리 소자의 형성 방법
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
TWI493058B (zh) 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
JP2008288289A (ja) 2007-05-16 2008-11-27 Oki Electric Ind Co Ltd 電界効果トランジスタとその製造方法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7879222B2 (en) 2007-08-27 2011-02-01 Eci Technology, Inc. Detection of additive breakdown products in acid copper plating baths
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101163825B1 (ko) 2008-03-28 2012-07-09 도쿄엘렉트론가부시키가이샤 정전척 및 그 제조 방법
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
WO2010077847A2 (en) 2008-12-31 2010-07-08 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
DE102009015747B4 (de) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
WO2011062560A1 (en) 2009-11-19 2011-05-26 National University Of Singapore Method for producing t cell receptor-like monoclonal antibodies and uses thereof
DE102009055392B4 (de) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
KR102147003B1 (ko) 2011-12-12 2020-08-24 노벨러스 시스템즈, 인코포레이티드 전기도금 용액 내에서의 평탄화제 농도 모니터링
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
JP6195898B2 (ja) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR101990051B1 (ko) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW434708B (en) * 1998-12-22 2001-05-16 Hyundai Electronics Ind Method for forming gate electrodes of semiconductor device
US20090149022A1 (en) * 2007-12-05 2009-06-11 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film

Also Published As

Publication number Publication date
US20150179461A1 (en) 2015-06-25
KR20220104138A (ko) 2022-07-26
TW201525174A (zh) 2015-07-01
KR102603859B1 (ko) 2023-11-17
US20170133231A1 (en) 2017-05-11
KR102424080B1 (ko) 2022-07-21
US9589808B2 (en) 2017-03-07
KR20150072377A (ko) 2015-06-29

Similar Documents

Publication Publication Date Title
TWI663278B (zh) 極低電阻率鎢之沉積方法
US8853080B2 (en) Method for depositing tungsten film with low roughness and low resistivity
JP5376361B2 (ja) タングステン膜の製造方法および装置
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
KR101383384B1 (ko) 작은 임계 치수를 가지는 텅스텐 컨택트 및 인터커넥트 형성 방법
US8551885B2 (en) Method for reducing tungsten roughness and improving reflectivity
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
JP2015221940A (ja) 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
KR101356332B1 (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법