TWI798148B - 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統 - Google Patents

電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統 Download PDF

Info

Publication number
TWI798148B
TWI798148B TW111130877A TW111130877A TWI798148B TW I798148 B TWI798148 B TW I798148B TW 111130877 A TW111130877 A TW 111130877A TW 111130877 A TW111130877 A TW 111130877A TW I798148 B TWI798148 B TW I798148B
Authority
TW
Taiwan
Prior art keywords
substrate
drain
chamber
process chamber
source
Prior art date
Application number
TW111130877A
Other languages
English (en)
Other versions
TW202247329A (zh
Inventor
李學斌
紹芳 諸
愛羅安東尼歐C 桑契斯
派翠西亞M 劉
高拉夫 塔瑞加
海文 洪
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202247329A publication Critical patent/TW202247329A/zh
Application granted granted Critical
Publication of TWI798148B publication Critical patent/TWI798148B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

本文論述的系統及方法用於群集工具,群集工具可用於MOSFET元件製造,MOSFET元件包括NMOS及PMOS元件。群集工具包括製程腔室,用於預清洗、金屬矽化物或金屬鍺化物膜形成、及表面保護操作,如覆蓋及氮化作用。群集工具可包括用以形成源極及汲極的一或更多個製程腔室。將在群集工具中製造的元件製造成具有至少一個保護層,此至少一個保護層形成於金屬矽化物或金屬鍺化物膜上方以在處理期間保護膜免受污染並且移送以分隔系統。

Description

電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
本揭示案的態樣大體係關於場效電晶體(field-effect transistor; FET)的製造。
場效電晶體為一電晶體族,其依賴閘極上電壓產生的電場,以便控制汲極與源極之間的電流。眾多種FET中的一種為金屬氧化物半導體FET (metal-oxide semiconductor FET; MOSFET)。MOSFET元件的製造包括多個沉積及圖案化操作,其經執行以形成及電連接及/或絕緣元件的各種特徵。至少一個矽化物層通常在MOSET製造製程期間形成。根據在MOSFET製造中涉及的眾多製程操作,矽化物層(可為包括多晶(「聚」)金屬矽化物的金屬矽化物)的成核可能是個挑戰。通常矽化物層形成並不與電晶體源極及汲極膜形成原位整合。在電晶體源極/汲極磊晶膜形成之後,在以下電晶體閘極形成期間用硬遮罩(如氮化物膜)保護源極/接觸區域。在閘極形成之後,藉由蝕刻掉硬遮罩來打開源極/汲極頂部接觸區域,以供在源極及汲極接觸區域頂上的矽化物膜形成。對硬遮罩移除的蝕刻處理亦可蝕刻掉頂上的源極/汲極膜的一些量並損害接觸面,其不利於滿足低接觸電阻要求。在沒有表面處理(如氮化作用)或用其他膜(如氮化鈦、氮化矽)覆蓋的情況下,生長的金屬氮化物層在空氣中輕易氧化。源極/汲極膜形成、矽化物層形成及表面保護的原位製程及硬體整合將促進最小化或減小源極/汲極頂層與矽化物層之間的電晶體接觸電阻。
因而,本領域中仍然需要MOSFET製造的改進系統及方法。
在一個實施方式中,電腦可讀媒體包括用以致使系統執行以下步驟的指令:將基板從移送腔室移送進預清洗製程腔室以進行預清洗操作,及在預清洗製程腔室中執行預清洗操作。在預清洗操作之後,指令用以將基板從預清洗製程腔室移送進源極/汲極磊晶沉積製程腔室,並在源極/汲極磊晶沉積製程腔室中在基板上形成源極及汲極。在源極/汲極磊晶沉積製程腔室中形成源極及汲極之後,指令用以將基板從源極/汲極磊晶沉積製程腔室移送進膜形成製程腔室中以在源極及汲極上形成金屬矽化物膜或金屬鍺化物,並在膜形成製程腔室中在源極及汲極上形成金屬矽化物膜或金屬鍺化物。在形成源極及汲極之後,指令用以將基板從膜形成製程腔室移送進表面保護製程腔室中;並在表面保護製程腔室中執行表面保護操作。
在一個實施方式中,處理基板的方法包括以下步驟:使用設置在移送腔室的移送體積中的中央移送機器人,將基板移送進複數個製程腔室中的第一製程腔室,此第一製程腔室用以執行至少一個預清洗操作;在第一製程腔室中執行至少一個預清洗操作;在至少一個預清洗操作之後,移送基板從第一製程腔室穿過移送腔室的移送體積,並進入複數個製程腔室中的第二製程腔室,此第二製程腔室用以在基板上形成源極及汲極;及在複數個製程腔室中的第二製程腔室中在基板上形成源極及汲極。方法亦包括以下步驟:在形成源極及汲極之後,從第二製程腔室移送基板穿過移送腔室的移送體積,並進入複數個製程腔室中的第三製程腔室,此第三製程腔室用以在源極及汲極上形成膜;及在第三製程腔室中在源極及汲極上形成膜。方法亦包括以下步驟:在形成源極及汲極之後,從第三製程腔室移送基板穿過移送腔室的移送體積,並進入複數個製程腔室中的第四製程腔室,此第四製程腔室用以在源極及汲極上形成保護膜;及在第四製程腔室中在源極及汲極上形成保護膜。
在一個實施方式中,系統包括複數個製程腔室,及複數個製程腔室中的第一製程腔室用於預清洗操作,複數個製程腔室中的第二製程腔室用於磊晶沉積操作,及複數個製程腔室中的第三製程腔室用以執行覆蓋層形成操作或執行氮化作用操作中的至少一者;耦接至複數個製程腔室中每個製程腔室的移送腔室;用以將基板在移送腔室與複數個製程腔室中一或更多者中或之間移送的中央移送機器人;及用以執行複數個指令的控制器,指令包括將基板移送至複數個製程腔室中的哪些製程腔室,當移送基板時在複數個製程腔室中的製程腔室中執行的操作,及將基板移送至製程腔室的順序。
本文論述的系統及方法關於群集工具中的MOSFET元件的製造,群集工具包括複數個整合型製程腔室。每個操作可在用以執行一或更多個操作的單個腔室中執行,或者可在複數個製程腔室中執行,該些製程腔室中的每個用以執行一或更多個操作。在本文論述的群集工具的製程腔室中執行的操作可包括預清洗、源極/汲極沉積、金屬矽化物或金屬鍺化物膜形成、及使用基板的覆蓋或氮化作用的表面保護。使用中央移送機器人,將基板(可包括一或更多個材料層)在群集工具的製程腔室中及之間移送。中央移送機器人設置在環境受控的移送空間中,此空間可用於壓力及/或溫度控制。相反,在習用MOSFET元件製造期間,將基板在不同系統(例如,群集工具)中移送,用於包括膜形成的不同操作。基板在系統之間的移送可將基板曝露於周圍空氣及/或污染物,此可能負面影響下游製造操作及MOSFET元件效能。然而,使用本文論述的MOSFET製造的系統及方法,在屬於單個群集工具的部分的製程腔室中執行多個操作,此最小化基板對不期望製程環境的曝露。
本文論述的操作可包括相同群集工具上的兩個或更多個腔室,用以執行類似操作,例如預清洗、源極/汲極沉積、金屬矽化物膜或金屬鍺化物膜形成、或表面保護操作。一或更多個製程腔室可用以執行預清洗、源極/汲極(source/drain; 「s/d」)沉積、膜形成、及/或表面保護操作中的每一者。多個連續的MOSFET製造操作在群集工具中的執行最小化基板在其他群集工具之間的移送,從而最小化曝露於周圍環境。基板在不同群集工具中及之間減少的處理降低了基板污染的可能性及/或量。由於在本文論述的群集工具中膜及表面保護層的製造,使用本文論述的系統及方法提高了MOSFET製造期間形成的觸點的品質,此些膜及表面保護層的製造發生在與其他MOSFET製造製程相同的群集工具中。
第1圖為根據本揭示案的一個實施方式的用於形成MOSFET元件的系統100的示意平面圖。系統100為具有一或更多個製程腔室的群集工具。系統100用以執行一或更多個MOSFET製造操作。在一個實例中,系統100包括複數個製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124(圖示五個),以及移送腔室112。中央移送機器人116設置在移送腔室112的移送體積118中。複數個製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124可佈置在圍繞移送腔室112的不同位置,並且可用以執行不同的MOSFET製造操作。儘管圖示製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124,但設想系統100可包括多於或少於5個的圍繞移送腔室112的製程腔室。本文論述的系統100用以執行MOSFET製造操作,包括以下操作的一些或全部:(A)預清洗基板,(B)磊晶沉積NMOS或PMOS元件的源極及汲極,(C)在源極及汲極上的金屬矽化物膜或金屬鍺化物膜形成,及(D)膜形成之後的表面處理/保護。
系統100可通信地、電氣地、機械地或以另外方式耦接至一或更多個額外系統,如其他群集工具,與第1圖中第二系統122一起圖示。第二系統122可用於不同操作,包括膜形成、圖案化及/或熱退火,此可在系統100中執行一或更多個操作之前及/或之後發生。在一個實例中,在基板進入系統100之前,形成MOSFET元件的源極及汲極。在另一實例中,形成源極及汲極,如下文使用系統100論述的。
使用移送系統將基板移送至系統100及將基板從系統100移送至系統122,並將基板曝露於周圍空氣、處理損害、及/或其他污染物或不期望條件。在一個實例中,可將基板從第二系統122移送進系統100的工廠介面114。從工廠介面114,可將基板移送至移送腔室112。移送腔室112的移送體積118可為環境受控環境,如其中可設定及維持及/或調整溫度及/或壓力的環境。在一個實例中,將移送腔室112在MOSFET製造期間保持在真空壓力下及約25℃至約150℃的溫度下。中央移送機器人116用以在製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124中及之間移送基板。
如本文論述,系統100可包括比第1圖圖示的製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124更多或更少的製程腔室。每個製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124可用以執行一或更多個操作。設想系統100可包括重複的腔室。例如,系統100可包括用以執行相同或不同預清洗操作的複數個預清洗腔室。同樣地,系統100可包括用以執行相同或不同沉積製程的複數個沉積腔室。
在一個實例中,控制器120通信耦合至系統100並用以執行複數個指令,指令包括執行用以形成MOSFET元件的全部或部分的操作的順序。操作的順序可包括將基板移送至哪些製程腔室104、製程腔室106、製程腔室108、製程腔室110、及製程腔室124,在製程腔室中將執行哪些操作,及/或移送及/或操作執行發生的順序。操作順序可進一步包括額外MOFSET製造製程細節,包括腔室參數,如壓力、溫度、前驅物類型、氣體流動速率、電漿生成參數、及/或可用於製造如本文論述的各種MOSFET元件的其他參數。
系統100用以在預清洗製程腔室108中執行一或更多個清洗操作。儘管第1圖中圖示僅單個預清洗製程腔室108,但設想系統100可包括複數個預清洗製程腔室108。預清洗為諸如膜形成的後續操作準備基板以提高形成於乾淨基板上的膜的品質。每個預清洗製程腔室108用以藉由移除自然氧化物或殘餘碳中一或更多者,及/或藉由修復包括先前沉積及/或圖案化的膜的缺陷的表面缺陷,來為後續操作準備基板。自然氧化物可在上游操作之後由於處理而形成,及殘餘碳可為用於上游操作中的硬遮罩的結果。在可與其他實施例組合的實施例中,系統100包括一或更多個源極/汲極磊晶沉積製程腔室(「s/d腔室」),圖示出其中兩者(104、106)。在一個實例中,s/d腔室104用於PMOS形成,而s/d腔室106用於NMOS形成。在此種實例中,s/d腔室104用以形成P型MOSFET元件,而s/d腔室106用以形成N型MOSFET元件。在一個實例中,可從系統100省略s/d腔室104、s/d腔室106。在此種實例中,提供至系統100的基板已包括形成於其上的源極及汲極。
在可與其他實施例組合的實施例中,系統100包括膜形成製程腔室110。儘管僅圖示單個膜形成製程腔室110,但設想系統100可包括複數個膜形成製程腔室110。在其中膜形成操作包括一或更多個膜形成製程腔室110的實例中,每個膜形成製程腔室110可用以在源極及汲極上形成金屬矽化物膜及/或金屬鍺化物膜中的一或更多者。一或更多個膜形成製程腔室110可包括化學氣相沉積(chemical vapor deposition; CVD)腔室或原子層沉積(atomic layer deposition; ALD)腔室。
系統100包括表面保護製程腔室124。儘管僅圖示單個表面保護製程腔室124,但設想系統100可包括用以執行覆蓋操作及/或氮化作用操作的複數個表面保護製程腔室124。覆蓋為在基板表面上方(如MOSFET元件的源極及汲極)形成層的操作。在一個實例中,層可由鈦(Ti)、氮化鈦(TiN)、氮化矽、及/或矽(Si)形成。在一個實例中,表面保護製程腔室124用以執行氮化操作。在沉積金屬矽化物膜或金屬鍺化物膜之後,氮化(氮化作用)將基板的至少一部分(如基板的源極及汲極)曝露於氮源。曝露於氮源在金屬矽化物膜或金屬鍺化物膜上方形成硬化的保護表面層。一旦將在群集工具中形成的結構移送至不同工具用於進一步處理,則氮化作用期間形成的保護層防止或減少金屬矽化物膜或金屬鍺化物膜的氧化。在一個實例中,由表面保護製程腔室124形成的表面保護層為中間層,以促進包括形成及/或圖案化一或更多個靶層的下游操作。
如上文論述,系統100包括一或更多個預清洗製程腔室108(圖示一個)。在一個實例中,一或更多個預清洗製程腔室108包括以下操作的一或更多者:用於自然氧化物移除操作的第一預清洗製程腔室,用以生成氫(H 2)電漿以移除殘餘碳的第二預清洗製程腔室,及第三預清洗製程腔室。在一個實例中,第三預清洗製程腔室用以生成氯(Cl)電漿以從基板移除薄缺陷層,包括磊晶層。另外或替代地,在預清洗腔室108中的一個腔室中,移除殘餘碳。殘餘碳可從先前膜形成及圖案化操作中留在基板上,先前膜形成及圖案化操作可包括使用含碳的硬遮罩層。
在一個實例中,NMOS腔室106用以形成N型源極及N型汲極,及PMOS腔室104用以形成P型源極及P型汲極。NMOS腔室106連接至一或更多個前驅物源,包括矽(Si)、磷(P)、砷(As)、銻(Sb)、鉍(Bi)、及/或鋰(Li)。PMOS腔室104連接至一或更多個前驅物源,如硼(B)、鎵(Ga)、錫(Sn)、銦(In)、鍺(Ge)、及/或矽(Si)。
膜形成製程腔室110用以在源極及汲極上形成金屬矽化物膜或金屬鍺化物膜。如上文論述,儘管圖示單個膜形成製程腔室110,但設想系統100可包括複數個膜形成製程腔室110。在一個實例中,膜形成製程腔室110包括以下一或更多者:用作CVD腔室以使用燈熱或電阻式加熱器在源極及汲極上形成金屬矽化物膜的第一膜形成製程腔室,及/或用作CVD腔室以使用燈熱或電阻式加熱器形成金屬鍺化物膜的第二膜形成製程腔室。膜形成製程腔室110可另外或替代地包括用以形成金屬矽化物及/或金屬鍺化物膜任一者或兩者的第三膜形成製程腔室。在一個實例中,膜形成製程腔室110為燈加熱的並用以形成金屬矽化物或金屬鍺化物膜。在一個實例中,膜形成製程腔室110為電阻式加熱的(例如,使用加熱的基板支撐件),並用以形成金屬矽化物或金屬鍺化物膜。NMOS腔室106及/或PMOS腔室104用以形成金屬矽化物或金屬鍺化物膜,並且可為燈加熱的。
系統100包括表面保護製程腔室124。使用表面保護操作以當曝露於空氣,例如當將MOSFET結構移送至第二系統122時,防止金屬矽化物膜或金屬鍺化物膜的氧化。儘管圖示單個表面保護製程腔室124,但設想系統100可包括複數個表面保護製程腔室。在其中氮化作用為表面保護操作的實例中表面保護製程腔室124可為燈加熱的,或者若表面保護操作包括形成含Ti覆蓋層,則表面保護製程腔室124可為電阻式加熱的。在一個實例中,系統100包括以下一或更多者:用作電漿腔室以執行一或更多個操作的第一表面保護製程腔室124,該些操作包括SiN覆蓋、Si覆蓋、或金屬矽化物膜或金屬鍺化物膜的氮化作用;及/或用作能夠形成Ti或TiN封蓋的電漿腔室的第二表面保護製程腔室124。一或更多個表面保護製程腔室124可包括第三表面保護製程腔室124,用以對金屬矽化物膜或金屬鍺化物膜執行氮化作用並且形成Ti或TiN封蓋。在一個實例中,一或更多個表面保護製程腔室124可包括第四表面保護製程腔室124,用以執行氮化作用而不形成封蓋;及第五表面保護製程腔室124,用以在金屬矽化物或金屬鍺化物膜上形成Si封蓋。
系統100包括一或更多個感測器,此等感測器監測系統的一或更多個態樣的條件及/或性質,如移送腔室112的移送體積118。系統100包括具有一或更多個感測器191a-191d(圖示四個)的一或更多個模組190(圖示一個)。具有感測器191a-191d的模組190設置在移送腔室112的移送體積118中。具有感測器191a-191d的模組190可附接至移送腔室112,如附接至移送腔室112的內表面。感測器191a-191d中的至少一者用以檢測、監測及/或量測移送體積118中的污染物及其濃度,如當中央移送機器人116移送基板時。在一個實例中,一或更多個感測器191a-191d包括一或更多個原位量測感測器。感測器191a-191d中的至少一者用以檢測、監測及/或量測在系統100中處理的基板的條件及/或性質,如當基板正由中央移送機器人116移送時。在一個實例中,一或更多個感測器191a-191d包括一或更多個晶圓上光譜感測器,及/或一或更多個污染物感測器。在一個實例中,一或更多個感測器191a-191d包括一或更多個氧感測器、一或更多個水蒸氣感測器、一或更多個X射線螢光光譜(X-ray fluorescence spectroscopy; XRF)感測器、及/或一或更多個X射線光電光譜(X-ray photoelectron spectroscopy; XPS)感測器。由控制器120執行的複數個指令包括指示一或更多個感測器191a-191d檢測、監測、及/或量測污染物、條件及/或性質的指令。
製程腔室104、製程腔室106、製程腔室108、製程腔室110、及/或製程腔室124的一些或全部可用以形成MOSFET元件,如在下文第2圖中的方法中論述的。第2圖為根據本揭示案的一個實施方式的用於形成MOSFET元件的方法200。方法200在下文關於第1圖中系統100論述。在操作202,預清洗操作發生在預清洗製程腔室108中。在一個實例中,在操作202處單獨使用第一預清洗製程腔室108,以從基板移除自然氧化物。第一預清洗製程腔室108可使用電漿的氫,或NF 3/NH 3混合物,以在操作202期間從基板移除自然氧化物。另外或替代地,在操作202,可使用第二預清洗腔室108。第二預清洗腔室108使用電漿,如氫電漿、氬電漿、或氫-氬電漿,以從基板移除殘餘碳。如上文論述,殘餘碳可為上游操作(如包括使用硬遮罩的操作)的結果。在一個實例中,在操作202,將基板移送進第一預清洗製程腔室108中,以首先從基板移除自然氧化物,隨後進入第二預清洗腔室以移除殘餘碳。在一個實例中及在操作202,將基板移送進第二預清洗製程腔室108中以移除殘餘碳,並隨後移送進第一預清洗製程腔室108中以移除自然氧化物。
在一個實例中及在操作202,利用第三預清洗製程腔室108。順序地一起使用第一預清洗製程腔室108及第三預清洗製程腔室108。在此實例中,可將基板移送至第一預清洗製程腔室108以從基板移除自然氧化物,並隨後移送至第三預清洗製程腔室108,第三預清洗製程腔室108用以使用Cl電漿、或氫-氬電漿以清洗基板。在一個實例中及在操作202,可將基板移送至第三預清洗製程腔室108以使用Cl電漿或氫-氬電漿清洗基板,並隨後移送至第一預清洗製程腔室108中以從基板移除自然氧化物。在操作202處預清洗操作的一個實例中,以不同順序及組合使用第一預清洗製程腔室108、第二預清洗製程腔室108、及第三預清洗製程腔室108,以移除自然氧化物及/或殘餘碳及清洗基板。
在操作204,源極及汲極的磊晶沉積發生例如在源極及汲極磊晶沉積腔室中,如第1圖中s/d腔室104及/或s/d腔室106。在其中在方法200中製造NMOS元件的實例中,在操作204,NMOS腔室106用以形成N型源極及N型汲極。在其中在方法200中製造PMOS元件的實例中,在操作204,PMOS腔室104用以形成P型源極及P型汲極。在一個實例中,操作204發生在操作202之後。在NMOS及/或PMOS製造的任一者或兩者的實例中,在操作202接收具有已經沉積源極及汲極的基板。在其中已經沉積源極及汲極的一個實例中,在操作202處預清洗基板但不執行操作204,並且方法進行至操作206。
在操作206,例如藉由使用CVD製程在源極及汲極上形成金屬矽化物膜或金屬鍺化物膜。使用本文論述的一或更多個膜形成製程腔室110,可形成操作206處形成的膜。一旦在操作206形成膜,方法200可進行至操作208。然而,在一個實例中,在操作206處膜形成之前,可執行一或更多個預處理以在操作206處促進膜形成。在一個實例中,s/d腔室104、s/d腔室106用以執行一或更多個預處理以在操作206促進膜形成。在一個實例中,在操作204期間在NMOS腔室106或PMOS腔室104中,一或更多個預處理操作可執行作為源極/汲極形成的部分。一或更多個預處理可在子操作中執行,在操作204處源極/汲極形成之後,但在操作206將基板移送至膜形成製程腔室110之前。作為操作206的部分,將基板移送至膜形成製程腔室110之後,預處理操作可作為子操作進行。執行的預處理操作可包括預處理基板(例如,源極及汲極)以增大基板表面的摻雜濃度及/或增大基板的表面粗糙度。在一個實例中,接收沒有形成源極/汲極的基板,並且方法200在操作204處不包括源極/汲極形成。在此實例中,在操作202處預清洗基板,並隨後在操作206在鄰近於待製造的MOSFET元件的通道區域的基板上形成金屬矽化物或金屬鍺化物膜。
在操作206處形成金屬矽化物或鍺化物之後,在操作208在一或更多個表面保護製程腔室124(第1圖中圖示一個,但設想額外的表面保護製程腔室124)中覆蓋或鈍化基板表面。例如,在操作208處,基板可經歷氮化作用以防止氧化,或具有沉積的封蓋(包括SiN、Ti、TiN、及/或Si)。在一個實例中及在操作208,第一表面保護製程腔室124用於氮化作用。在一個實例中及在操作208,在第一表面保護製程腔室124或第二表面保護製程腔室124的任一者中在基板上形成Si封蓋。在操作208使用的一或更多個表面保護製程腔室124可取決於MOSFET製造製程。例如,在金屬閘極形成之前,或在金屬閘極形成之後,可形成金屬矽化物或金屬鍺化物膜。在一個實例中,在操作208,當在金屬閘極形成之前執行操作206時,可在第一表面保護製程腔室124中形成SiN封蓋。在一個實例中及在操作208,當在金屬閘極形成之後執行操作206時,可在第二表面保護製程腔室124中形成Ti或TiN封蓋層。
在方法200的一個實例中,在操作202處系統100用以預清洗基板,及隨後在操作204源極及汲極形成於基板上。在操作206處,矽化物或鍺化物膜形成於源極及汲極上。隨後,表面保護操作在操作208處執行,並包括氮化作用及/或使用氮化矽(SiN)、鈦(Ti)、氮化鈦(TiN)、及/或矽(Si)覆蓋。在一個實例中,如上論述,系統100用以在操作202接收包括源極及汲極的基板。在此實例中,在操作202預清洗基板,在操作206形成矽化物或鍺化物膜,及隨後在操作208執行表面保護操作。當在操作202接收具有已經形成的源極及汲極的基板時,操作208可包括使用表面保護製程腔室124。在操作210,可將基板移送至系統100外的另一系統,如第1圖中圖示的第二系統122。第二系統122可用以執行操作,包括膜形成、圖案化及熱退火。當將基板移送出系統100及/或移送進另一系統(如第二系統122)時,在操作208處的覆蓋或氮化作用促進保護金屬矽化物或金屬鍺化物層免於形成不期望的氧化物或缺陷,若基板曝露於潛在污染的製程環境。
一或更多個感測器,如上述一或更多個感測器191a-191d,可在方法200中檢測、監測、及/或量測污染物、條件、及/或性質。在一個實例中,在執行方法200的操作202、操作204、操作206、操作208、及/或操作210的態樣期間、之前、及/或之後,一或更多個感測器191a-191d檢測、監測、及/或量測污染物、條件、及/或性質。
使用本文論述的系統及方法,群集工具包括圍繞移送腔室佈置的製程腔室。群集工具可用以執行各種操作,包括預清洗基板、形成源極及汲極、在源極及汲極上形成金屬矽化物膜或金屬鍺化物膜、及/或在膜上形成保護層的操作。藉由在將MOSFET元件移送至不同系統用於後續操作之前製造膜及保護膜,保存膜的完整性,從而促進後續特徵(包括觸點)的成功形成。
儘管上述內容針對本發明的實施方式,但是也可在不脫離本發明的基本範疇的情況下設計本發明的其他和進一步實施例,並且本發明的範疇是由隨附申請專利範圍確定。
100:系統 104:製程腔室 106:製程腔室 108:製程腔室 110:製程腔室 112:移送腔室 114:工廠介面 116:中央移送機器人 118:移送體積 120:控制器 122:第二系統 124:製程腔室 190:模組 191a:感測器 191b:感測器 191c:感測器 191d:感測器 200:方法 202:操作 204:操作 206:操作 208:操作 210:操作
因此,為了能夠詳細理解本揭示案的上述特徵結構所用方式,上文所簡要概述的本揭示案的更具體的描述可參考各個實施例進行,此等實施例中的一些示出於附圖中。然而,應注意,附圖僅示出本揭示案的示例性實施方式並因此不應視為對本揭示範圍的限制,因為本揭示案可承認其他同等有效的實施方式。
第1圖為根據本揭示案的一個實施方式的用於形成半導體部件的系統的示意圖。
第2圖為根據本揭示案的一個實施方式的形成半導體部件的方法的流程圖。
為了便於理解,在可能的情況下使用相同的附圖標記來表示附圖中共有的相同元件。亦可設想,一個實施方式的元素和特徵可有利地併入其他實施方式中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:系統 104:製程腔室 106:製程腔室 108:製程腔室 110:製程腔室 112:移送腔室 114:工廠介面 116:中央移送機器人 118:移送體積 120:控制器 122:第二系統 124:製程腔室 190:模組 191a:感測器 191b:感測器 191c:感測器 191d:感測器

Claims (20)

  1. 一種電腦可讀媒體,包括用以致使一系統執行以下步驟的指令: 將一基板從一移送腔室移送並進入一第一製程腔室; 藉由在該第一製程腔室中在該基板上執行一預清洗操作而為該基板準備產生一源極及一汲極; 在該基板上的該預清洗操作之後,將該基板從該第一製程腔室移送並進入一第二製程腔室; 在該第二製程腔室中在該基板上磊晶地產生一源極及一汲極; 在該第二製程腔室中產生該源極及該汲極之後,將該基板從該第二製程腔室移送並進入一第三製程腔室中; 在該第三製程腔室中在該源極及該汲極上形成一金屬矽化物層或一金屬鍺化物層; 在該源極及該汲極上形成該金屬矽化物層或金屬鍺化物層之後,將該基板從該第三製程腔室移送並進入一第四製程腔室;以及 在該第四製程腔室中在該基板的一表面上執行一表面保護操作,其中該表面保護操作使用氮化作用操作、沉積具有SiN、Ti、TiN、Si的一種或多種的一覆蓋層,或者其組合的至少一種方式來覆蓋或鈍化該基板的該表面。
  2. 如請求項1所述的電腦可讀媒體,其中該等指令進一步用以在將該基板移送至該第三製程腔室中之前在該第二製程腔室中執行一預處理。
  3. 如請求項1所述的電腦可讀媒體,其中執行該預清洗操作的步驟包括以下步驟: 從該基板移除一自然氧化物或一殘餘碳中的一或更多者。
  4. 如請求項1所述的電腦可讀媒體,其中在該基板上磊晶地產生該源極及該汲極的步驟包括以下步驟的一或更多者:產生一N型源極及一N型汲極,或者產生一P型源極及一P型汲極。
  5. 如請求項1所述的電腦可讀媒體,其中執行該表面保護操作的步驟包括以下步驟的一或更多者:在該源極及該汲極上沉積該覆蓋層,或者在該源極及該汲極上執行該氮化作用操作。
  6. 如請求項1所述的電腦可讀媒體,其中該等指令進一步用以指示一或更多個感測器以量測該移送腔室中的一或更多種污染物或該基板的一或更多個性質的至少一種。
  7. 一種處理多個基板的方法,包括以下步驟: 使用設置在一移送腔室的一移送體積中的一中央移送機器人,將一基板移送進複數個製程腔室中的一第一製程腔室中,該第一製程腔室用以執行至少一個預清洗操作; 藉由在該第一製程腔室中在該基板上執行至少一個預清洗操作而為該基板準備產生一源極及一汲極; 在該至少一個預清洗操作之後,從該第一製程腔室移送該基板穿過該移送腔室的該移送體積,並進入該些製程腔室的一第二製程腔室中,該第二製程腔室用以在該基板上產生一源極及一汲極; 在該些製程腔室中的該第二製程腔室中在該基板上產生該源極及該汲極; 在產生該源極及該汲極之後,從該第二製程腔室移送該基板穿過該移送腔室的該移送體積,並進入該些製程腔室中的一第三製程腔室,該第三製程腔室用以在該源極及該汲極上形成一膜; 在該第三製程腔室中在該源極及該汲極上形成該膜; 在形成該源極及該汲極之後,從該第三製程腔室移送該基板穿過該移送腔室的該移送體積,並進入該些製程腔室中的一第四製程腔室,該第四製程腔室用以在該源極及該汲極上形成一保護膜;以及 在該第四製程腔室中在該源極及該汲極上形成該保護膜。
  8. 如請求項7所述的方法,其中該膜包括一金屬矽化物或一金屬鍺化物。
  9. 如請求項7所述的方法,進一步包括以下步驟:使用設置在該移送腔室的該移送體積中的一或更多個感測器,量測該移送體積中的一或更多種污染物或該基板的一或更多個性質的至少一種。
  10. 如請求項7所述的方法,其中執行該至少一個預清洗操作的步驟包括以下步驟: 從該基板移除一自然氧化物或一殘餘碳中的一或更多者。
  11. 如請求項7所述的方法,其中在該基板上產生該源極及該汲極的步驟包括以下步驟的一或更多者: 產生一N型源極及一N型汲極,或者產生一P型源極及一P型汲極。
  12. 如請求項7所述的方法,其中在該源極及該汲極上形成該保護膜的步驟包括以下步驟的一或更多者: 在該源極及該汲極上形成一覆蓋層,或者氮化該源極及該汲極。
  13. 一種用於處理多個基板的系統,包括: 複數個製程腔室,其中該些製程腔室中的一第一製程腔室用於預清洗操作,該些製程腔室的一第二製程腔室用於磊晶沉積操作,及該些製程腔室的一第三製程腔室用以執行一覆蓋層形成操作或執行一氮化作用操作的至少一者; 一移送腔室,耦接至該些製程腔室中的每個製程腔室; 一中央移送機器人,用以將一基板在該移送腔室與該些製程腔室中的一或更多者之間移送;以及 一控制器,用以執行複數個指令,包括將該基板移送至該些製程腔室中的哪些製程腔室,一旦移送該基板之後,在該些製程腔室中的該等製程腔室中執行的操作,及將該基板移送至該製程腔室的一順序; 其中該些指令當執行時致使以下步驟: 從該移送腔室移送該基板並進入該第一製程腔室; 藉由在該第一製程腔室中在該基板上執行一預清洗操作而為該基板準備產生一源極及一汲極; 在該預清洗操作之後,從該第一製程腔室移送該基板並進入該第二製程腔室;及 在該第二製程腔室中在該基板上形成一摻雜的磊晶層,以產生一源極及一汲極。
  14. 如請求項13所述的系統,其中該些指令當執行時,使用該中央移送機器人移送該基板。
  15. 如請求項13所述的系統,其中該些製程腔室包括用以形成一膜的一第四製程腔室。
  16. 如請求項13所述的系統,進一步包括用以量測該移送腔室中的一或更多種污染物或該基板的一或更多個性質中的至少一者的一或更多個感測器。
  17. 如請求項16所述的系統,其中該一或更多個感測器包括: 用以量測該移送腔室中一或更多種污染物的一或更多個感測器;以及 用以量測該基板的一或更多個性質的一或更多個感測器。
  18. 如請求項16所述的系統,其中用以量測該移送腔室中一或更多種污染物的該一或更多個感測器包括一或更多個氧感測器或一或更多個水蒸氣感測器中的至少一者。
  19. 如請求項16所述的系統,其中用以量測該基板的一或更多個性質的該一或更多個感測器包括一或更多個XRF感測器或一或更多個XPS感測器中的至少一者。
  20. 如請求項17所述的系統,其中該控制器用以執行的該些指令包括指示以下步驟的指令: 用以量測一或更多種污染物的該一或更多個感測器量測該移送腔室中一或更多種污染物的一濃度;以及 用以量測一或更多個性質的該一或更多個感測器量測該基板的一或更多個性質。
TW111130877A 2018-09-18 2019-09-10 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統 TWI798148B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862732961P 2018-09-18 2018-09-18
US62/732,961 2018-09-18

Publications (2)

Publication Number Publication Date
TW202247329A TW202247329A (zh) 2022-12-01
TWI798148B true TWI798148B (zh) 2023-04-01

Family

ID=69773065

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111130877A TWI798148B (zh) 2018-09-18 2019-09-10 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TW112106242A TWI815776B (zh) 2018-09-18 2019-09-10 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TW108132554A TWI786329B (zh) 2018-09-18 2019-09-10 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW112106242A TWI815776B (zh) 2018-09-18 2019-09-10 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TW108132554A TWI786329B (zh) 2018-09-18 2019-09-10 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統

Country Status (5)

Country Link
US (1) US11037838B2 (zh)
KR (1) KR102568706B1 (zh)
CN (1) CN112930591A (zh)
TW (3) TWI798148B (zh)
WO (1) WO2020060751A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220367560A1 (en) * 2021-05-17 2022-11-17 Applied Materials, Inc. Poly-silicon based word line for 3d memory
US20240141483A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Apparatus, systems, and methods of using an atmospheric epitaxial deposition transfer chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW424073B (en) * 1997-12-01 2001-03-01 Brooks Automation Inc Apparatus and method for transporting substrates
TW201405781A (zh) * 2012-03-27 2014-02-01 Novellus Systems Inc 鎢特徵部塡充
CN104347423A (zh) * 2013-08-09 2015-02-11 台湾积体电路制造股份有限公司 集成结和接触件的形成以形成晶体管
TW201732984A (zh) * 2016-01-26 2017-09-16 應用材料股份有限公司 用於偵測基板處理系統內之一或更多個環境條件之存在的系統及方法
TW201824427A (zh) * 2016-09-15 2018-07-01 應用材料股份有限公司 用於半導體製程的整合系統

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414057A (en) 1982-12-03 1983-11-08 Inmos Corporation Anisotropic silicide etching process
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
US6194315B1 (en) 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US20030012631A1 (en) * 2001-07-12 2003-01-16 Pencis Christopher H. High temperature substrate transfer robot
US6780694B2 (en) * 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
US7160800B2 (en) * 2005-01-07 2007-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Decreasing metal-silicide oxidation during wafer queue time
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
KR101170210B1 (ko) 2006-05-01 2012-08-01 어플라이드 머티어리얼스, 인코포레이티드 탄소 합금된 si 필름을 사용한 초박형 접합 형성 방법
CN100568468C (zh) * 2006-10-24 2009-12-09 联华电子股份有限公司 半导体元件及其制造方法
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
JP5214261B2 (ja) * 2008-01-25 2013-06-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN101661906A (zh) * 2008-08-29 2010-03-03 宜扬科技股份有限公司 非易失性存储单元的制造方法
US9054194B2 (en) 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
US9059024B2 (en) 2011-12-20 2015-06-16 Intel Corporation Self-aligned contact metallization for reduced contact resistance
WO2013095375A1 (en) 2011-12-20 2013-06-27 Intel Corporation Iii-v layers for n-type and p-type mos source-drain contacts
US10665503B2 (en) 2012-04-26 2020-05-26 Applied Materials, Inc. Semiconductor reflow processing for feature fill
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US20140065819A1 (en) 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US9620601B2 (en) 2014-07-01 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
KR102171023B1 (ko) 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
KR20160039957A (ko) * 2014-10-02 2016-04-12 삼성전자주식회사 이온 발생기를 갖는 기판 이송 시스템
KR101709586B1 (ko) * 2016-02-18 2017-02-24 (주)마스 반도체제조용 이송챔버
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
CN106409662A (zh) * 2016-11-09 2017-02-15 上海华力微电子有限公司 无硅化物损伤的应力临近技术刻蚀方法
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW424073B (en) * 1997-12-01 2001-03-01 Brooks Automation Inc Apparatus and method for transporting substrates
TW201405781A (zh) * 2012-03-27 2014-02-01 Novellus Systems Inc 鎢特徵部塡充
CN104347423A (zh) * 2013-08-09 2015-02-11 台湾积体电路制造股份有限公司 集成结和接触件的形成以形成晶体管
TW201732984A (zh) * 2016-01-26 2017-09-16 應用材料股份有限公司 用於偵測基板處理系統內之一或更多個環境條件之存在的系統及方法
TW201824427A (zh) * 2016-09-15 2018-07-01 應用材料股份有限公司 用於半導體製程的整合系統

Also Published As

Publication number Publication date
KR20210046079A (ko) 2021-04-27
TW202324577A (zh) 2023-06-16
US20200091010A1 (en) 2020-03-19
TW202032688A (zh) 2020-09-01
WO2020060751A1 (en) 2020-03-26
CN112930591A (zh) 2021-06-08
TWI815776B (zh) 2023-09-11
US11037838B2 (en) 2021-06-15
TW202247329A (zh) 2022-12-01
KR102568706B1 (ko) 2023-08-21
TWI786329B (zh) 2022-12-11

Similar Documents

Publication Publication Date Title
US9171718B2 (en) Method of epitaxial germanium tin alloy surface preparation
US9441298B2 (en) Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
JP5419694B2 (ja) 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成
KR102253546B1 (ko) 도핑된 게르마늄 형성 방법
TWI798148B (zh) 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
US20180076065A1 (en) Integrated system for semiconductor process
JP7455968B2 (ja) Pmos高誘電率金属ゲート
US10964544B2 (en) Contact integration and selective silicide formation methods
US20080199993A1 (en) Protective layer in device fabrication
US20230115130A1 (en) Methods for preparing metal silicides