CN112930591A - 原位集成型腔室 - Google Patents

原位集成型腔室 Download PDF

Info

Publication number
CN112930591A
CN112930591A CN201980059554.XA CN201980059554A CN112930591A CN 112930591 A CN112930591 A CN 112930591A CN 201980059554 A CN201980059554 A CN 201980059554A CN 112930591 A CN112930591 A CN 112930591A
Authority
CN
China
Prior art keywords
substrate
chamber
source
drain
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980059554.XA
Other languages
English (en)
Inventor
李学斌
舒伯特·S·诸
埃罗尔·安东尼奥·C·桑切斯
帕特里夏·M·刘
高拉夫·塔雷贾
雷蒙德·霍曼·洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112930591A publication Critical patent/CN112930591A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

本文论述的系统及方法用于群集工具,群集工具可用于MOSFET装置制造,MOSFET装置包括NMOS及PMOS装置。群集工具包括处理腔室,用于预清洁、金属硅化物或金属锗化物膜形成、及表面保护操作,如覆盖和氮化作用。群集工具可包括被配置为形成源极和漏极的一个或多个处理腔室。将在群集工具中制造的装置制造成具有至少一个保护层,此至少一个保护层形成于金属硅化物或金属锗化物膜上方以在处理期间保护膜免受污染并且传送至分隔系统。

Description

原位集成型腔室
技术领域
本公开内容的各方面一般涉及场效应晶体管(field-effect transistor;FET)的制造。
背景技术
场效应晶体管为晶体管族,其依赖栅极上电压产生的电场,以便控制漏极与源极之间的电流。众多种FET中的一种为金属氧化物半导体FET(metal-oxide semiconductorFET;MOSFET)。MOSFET装置的制造包括多个沉积及图案化操作,其经执行以形成及电连接和/或绝缘装置的各种特征。至少一个硅化物层通常在MOSET制造处理期间形成。根据在MOSFET制造中涉及的众多处理操作,硅化物层(可为包括多晶(“聚”)金属硅化物的金属硅化物)的成核可能是个挑战。通常硅化物层形成并不与晶体管源极及漏极膜形成原位集成。在晶体管源极/漏极外延膜形成之后,在以下晶体管栅极形成期间用硬掩模(如氮化物膜)保护源极/接触区域。在栅极形成之后,通过蚀刻掉硬掩模来打开源极/漏极顶部接触区域,以供在源极及漏极接触区域顶上的硅化物膜形成。对硬掩模移除的蚀刻处理还可蚀刻掉顶上的源极/漏极膜的一些量并损害接触面,其不利于满足低接触电阻要求。在没有表面处理(如氮化作用)或用其他膜(如氮化钛、氮化硅)覆盖的情况下,生长的金属氮化物层在空气中轻易氧化。源极/漏极膜形成、硅化物层形成及表面保护的原位处理及硬件集成将促进最小化或减小源极/漏极顶层与硅化物层之间的晶体管接触电阻。
因而,本领域中仍然需要MOSFET制造的改进系统及方法。
发明内容
在一个实施方式中,计算机可读介质包括被配置为致使系统执行以下步骤的指令:将基板从传送腔室传送进预清洁处理腔室以进行预清洁操作,及在预清洁处理腔室中执行预清洁操作。在预清洁操作之后,指令被配置为将基板从预清洁处理腔室传送进源极/漏极外延沉积处理腔室,并在源极/漏极外延沉积处理腔室中在基板上形成源极和漏极。在源极/漏极外延沉积处理腔室中形成源极和漏极之后,指令被配置为将基板从源极/漏极外延沉积处理腔室传送进膜形成处理腔室中以在源极和漏极上形成金属硅化物膜或金属锗化物,并在膜形成处理腔室中在源极和漏极上形成金属硅化物膜或金属锗化物。在形成源极和漏极之后,指令被配置为将基板从膜形成处理腔室传送进表面保护处理腔室中;并在表面保护处理腔室中执行表面保护操作。
在一个实施方式中,处理基板的方法包括以下步骤:使用设置在传送腔室的传送空间中的中央传送机器人,将基板传送进多个处理腔室中的第一处理腔室,此第一处理腔室被配置为执行至少一个预清洁操作;在第一处理腔室中执行至少一个预清洁操作;在至少一个预清洁操作之后,传送基板从第一处理腔室穿过传送腔室的传送空间,并进入多个处理腔室中的第二处理腔室,此第二处理腔室被配置为在基板上形成源极和漏极;和在多个处理腔室中的第二处理腔室中在基板上形成源极和漏极。方法还包括以下步骤:在形成源极和漏极之后,从第二处理腔室传送基板穿过传送腔室的传送空间,并进入多个处理腔室中的第三处理腔室,此第三处理腔室被配置为在源极和漏极上形成膜;及在第三处理腔室中在源极和漏极上形成膜。方法还包括以下步骤:在形成源极和漏极之后,从第三处理腔室传送基板穿过传送腔室的传送空间,并进入多个处理腔室中的第四处理腔室,此第四处理腔室被配置为在源极和漏极上形成保护膜;和在第四处理腔室中在源极和漏极上形成保护膜。
在一个实施方式中,系统包括多个处理腔室,并且多个处理腔室中的第一处理腔室被配置为用于预清洁操作,多个处理腔室中的第二处理腔室被配置为用于外延沉积操作,及多个处理腔室中的第三处理腔室被配置为执行覆盖层形成操作或执行氮化作用操作中的至少一个;耦接至多个处理腔室中每个处理腔室的传送腔室;被配置为将基板在传送腔室与多个处理腔室中一个或多个中或之间传送的中央传送机器人;和被配置为执行多个指令的控制器,指令包括将基板传送至多个处理腔室中的哪些处理腔室,当传送基板时在多个处理腔室中的处理腔室中执行的操作,及将基板传送至处理腔室的顺序。
附图说明
因此,为了能够详细理解本公开内容的上述特征结构所用方式,上文所简要概述的本公开内容的更具体的描述可参考各个实施方式进行,所述实施方式中的一些示出于附图中。然而,应注意,附图仅示出本公开内容的示例性实施方式并因此不应视为对本揭示范围的限制,因为本公开内容可承认其他同等有效的实施方式。
图1为根据本公开内容的一个实施方式的用于形成半导体部件的系统的示意图。
图2为根据本公开内容的一个实施方式的形成半导体部件的方法的流程图。
为了便于理解,在可能的情况下使用相同的附图标记来表示附图中共有的相同元件。也可设想,一个实施方式的元素和特征可有利地并入其他实施方式中而无需进一步叙述。
具体实施方式
本文论述的系统及方法涉及群集工具中的MOSFET装置的制造,群集工具包括多个集成型处理腔室。每个操作可在被配置为执行一个或多个操作的单个腔室中执行,或者可在多个处理腔室中执行,所述处理腔室中的每个被配置为执行一个或多个操作。在本文论述的群集工具的处理腔室中执行的操作可包括预清洁、源极/漏极沉积、金属硅化物或金属锗化物膜形成、及使用基板的覆盖或氮化作用的表面保护。使用中央传送机器人,将基板(可包括一个或多个材料层)在群集工具的处理腔室中及之间传送。中央传送机器人设置在环境受控的传送空间中,此空间可用于压力和/或温度控制。相反,在常规MOSFET装置制造期间,将基板在不同系统(例如,群集工具)中传送,用于包括膜形成的不同操作。基板在系统之间的传送可将基板暴露于周围空气和/或污染物,此可能负面影响下游制造操作和MOSFET装置性能。然而,使用本文论述的MOSFET制造的系统及方法,在属于单个群集工具的部分的处理腔室中执行多个操作,此最小化基板对不期望处理环境的暴露。
本文论述的操作可包括相同群集工具上的两个或更多个腔室,被配置为执行类似操作,例如预清洁、源极/漏极沉积、金属硅化物膜或金属锗化物膜形成、或表面保护操作。一个或多个处理腔室可用以执行预清洁、源极/漏极(source/drain;“s/d”)沉积、膜形成和/或表面保护操作中的每一个。多个连续的MOSFET制造操作在群集工具中的执行最小化基板在其他群集工具之间的传送,从而最小化暴露于周围环境。基板在不同群集工具中及之间减少的处理降低了基板污染的可能性和/或量。由于在本文论述的群集工具中膜和表面保护层的制造,使用本文论述的系统及方法提高了MOSFET制造期间形成的触点的质量,所述膜及表面保护层的制造发生在与其他MOSFET制造处理相同的群集工具中。
图1为根据本公开内容的一个实施方式的用于形成MOSFET装置的系统100的示意平面图。系统100为具有一个或多个处理腔室的群集工具。系统100被配置为执行一个或多个MOSFET制造操作。在一个实例中,系统100包括多个处理腔室104、处理腔室106、处理腔室108、处理腔室110和处理腔室124(图示五个),以及传送腔室112。中央传送机器人116设置在传送腔室112的传送空间118中。多个处理腔室104、处理腔室106、处理腔室108、处理腔室110、和处理腔室124可布置在围绕传送腔室112的不同位置,并且可被配置为执行不同的MOSFET制造操作。尽管图示处理腔室104、处理腔室106、处理腔室108、处理腔室110和处理腔室124,但设想系统100可包括围绕传送腔室112设置的多于或少于5个的处理腔室。本文论述的系统100被配置为执行MOSFET制造操作,包括以下操作的一些或全部:(A)预清洁基板,(B)外延沉积NMOS或PMOS装置的源极和漏极,(C)在源极和漏极上的金属硅化物膜或金属锗化物膜形成,及(D)膜形成之后的表面处理/保护。
系统100可通信地、电气地、机械地或以另外方式耦接至一个或多个额外系统,如其他群集工具,与图1中第二系统122一起图标。第二系统122可用于不同操作,包括膜形成、图案化和/或热退火,此可在系统100中执行一个或多个操作之前和/或之后发生。在一个实例中,在基板进入系统100之前,形成MOSFET装置的源极和漏极。在另一实例中,形成源极和漏极,如下文使用系统100论述的。
使用传送系统将基板传送至系统100及将基板从系统100传送至系统122,并将基板暴露于周围空气、处理损害和/或其他污染物或不期望条件。在一个实例中,可将基板从第二系统122传送进系统100的工厂接口114。从工厂接口114,可将基板传送至传送腔室112。传送腔室112的传送空间118可为环境受控环境,如其中可设定及维持和/或调整温度和/或压力的环境。在一个实例中,将传送腔室112在MOSFET制造期间保持在真空压力下及约25℃至约150℃的温度下。中央传送机器人116被配置为在处理腔室104、处理腔室106、处理腔室108、处理腔室110和处理腔室124中及之间传送基板。
如本文论述,系统100可包括比图1图示的处理腔室104、处理腔室106、处理腔室108、处理腔室110和处理腔室124更多或更少的处理腔室。每个处理腔室104、处理腔室106、处理腔室108、处理腔室110和处理腔室124可被配置为执行一个或多个操作。设想系统100可包括重复的腔室。例如,系统100可包括被配置为执行相同或不同预清洁操作的多个预清洁腔室。同样地,系统100可包括被配置为执行相同或不同沉积处理的多个沉积腔室。
在一个实例中,控制器120通信耦接至系统100并被配置为执行多个指令,指令包括执行用以形成MOSFET装置的全部或部分的操作的顺序。操作的顺序可包括将基板传送至哪些处理腔室104、处理腔室106、处理腔室108、处理腔室110和处理腔室124,在处理腔室中将执行哪些操作,和/或传送和/或操作执行发生的顺序。操作顺序可进一步包括额外MOFSET制造处理细节,包括腔室参数,如压力、温度、前驱物类型、气体流动速率、等离子体生成参数和/或可用于制造如本文论述的各种MOSFET装置的其他参数。
系统100被配置为在预清洁处理腔室108中执行一个或多个清洁操作。尽管图1中图示仅单个预清洁处理腔室108,但设想系统100可包括多个预清洁处理腔室108。预清洁为诸如膜形成的后续操作准备基板以提高形成于干净基板上的膜的质量。每个预清洁处理腔室108被配置为通过移除自然氧化物或残余碳中一种或多种,和/或通过修复包括先前沉积和/或图案化的膜的缺陷的表面缺陷,来为后续操作准备基板。自然氧化物可在上游操作之后由于处理而形成,及残余碳可为用于上游操作中的硬掩模的结果。在可与其他实施方式组合的实施方式中,系统100包括一个或多个源极/漏极外延沉积处理腔室(“s/d腔室”),图示出其中两者(104、106)。在一个实例中,s/d腔室104被配置为用于PMOS形成,而s/d腔室106被配置为用于NMOS形成。在此种实例中,s/d腔室104被配置为形成P型MOSFET装置,而s/d腔室106被配置为形成N型MOSFET装置。在一个实例中,可从系统100省略s/d腔室104、s/d腔室106。在此种实例中,提供至系统100的基板已包括形成于其上的源极和漏极。
在可与其他实施方式组合的实施方式中,系统100包括膜形成处理腔室110。尽管仅图示单个膜形成处理腔室110,但设想系统100可包括多个膜形成处理腔室110。在其中膜形成操作包括一个或多个膜形成处理腔室110的实例中,每个膜形成处理腔室110可被配置为在源极和漏极上形成金属硅化物膜和/或金属锗化物膜中的一个或多个。一个或多个膜形成处理腔室110可包括化学气相沉积(chemical vapor deposition;CVD)腔室或原子层沉积(atomic layer deposition;ALD)腔室。
系统100包括表面保护处理腔室124。尽管仅图示单个表面保护处理腔室124,但设想系统100可包括被配置为执行覆盖操作和/或氮化作用操作的多个表面保护处理腔室124。覆盖为在基板表面上方(如MOSFET装置的源极和漏极)形成层的操作。在一个实例中,层可由钛(Ti)、氮化钛(TiN)、氮化硅和/或硅(Si)形成。在一个实例中,表面保护处理腔室124备配置为执行氮化操作。在沉积金属硅化物膜或金属锗化物膜之后,氮化(氮化作用)将基板的至少一部分(如基板的源极和漏极)暴露于氮源。暴露于氮源在金属硅化物膜或金属锗化物膜上方形成硬化的保护表面层。一旦将在群集工具中形成的结构传送至不同工具用于进一步处理,则氮化作用期间形成的保护层防止或减少金属硅化物膜或金属锗化物膜的氧化。在一个实例中,由表面保护处理腔室124形成的表面保护层为中间层,以促进包括形成和/或图案化一个或多个靶层的下游操作。
如上文论述,系统100包括一个或多个预清洁处理腔室108(图示一个)。在一个实例中,一个或多个预清洁处理腔室108包括以下操作的一个或多个:用于自然氧化物移除操作的第一预清洁处理腔室;被配置为生成氢(H2)等离子体以移除残余碳的第二预清洁处理腔室;和第三预清洁处理腔室。在一个实例中,第三预清洁处理腔室被配置为生成氯(Cl)等离子体以从基板移除薄缺陷层,包括外延层。另外或替代地,在预清洁腔室108中的一个腔室中,移除残余碳。残余碳可从先前膜形成及图案化操作中留在基板上,先前膜形成及图案化操作可包括使用含碳的硬掩模层。
在一个实例中,NMOS腔室106被配置为形成N型源极和N型漏极,并且PMOS腔室104被配置为形成P型源极和P型漏极。NMOS腔室106连接至一个或多个前驱物源,包括硅(Si)、磷(P)、砷(As)、锑(Sb)、铋(Bi)和/或锂(Li)。PMOS腔室104连接至一个或多个前驱物源,如硼(B)、镓(Ga)、锡(Sn)、铟(In)、锗(Ge)和/或硅(Si)。
膜形成处理腔室110被配置为在源极和漏极上形成金属硅化物膜或金属锗化物膜。如上文论述,尽管图示单个膜形成处理腔室110,但设想系统100可包括多个膜形成处理腔室110。在一个实例中,膜形成处理腔室110包括以下一个或多个:被配置为CVD腔室以使用灯热或电阻式加热器在源极和漏极上形成金属硅化物膜的第一膜形成处理腔室,和/或被配置为CVD腔室以使用灯热或电阻式加热器形成金属锗化物膜的第二膜形成处理腔室。膜形成处理腔室110可另外或替代地包括被配置为形成金属硅化物和/或金属锗化物膜任一者或两者的第三膜形成处理腔室。在一个实例中,膜形成处理腔室110为灯加热的并被配置为形成金属硅化物或金属锗化物膜。在一个实例中,膜形成处理腔室110为电阻式加热的(例如,使用加热的基板支撑件),并被配置为形成金属硅化物或金属锗化物膜。NMOS腔室106和/或PMOS腔室104用以形成金属硅化物或金属锗化物膜,并且可为灯加热的。
系统100包括表面保护处理腔室124。使用表面保护操作以当暴露于空气,例如当将MOSFET结构传送至第二系统122时,防止金属硅化物膜或金属锗化物膜的氧化。尽管图示单个表面保护处理腔室124,但设想系统100可包括多个表面保护处理腔室。在其中氮化作用为表面保护操作的实例中表面保护处理腔室124可为灯加热的,或者若表面保护操作包括形成含Ti覆盖层,则表面保护处理腔室124可为电阻式加热的。在一个实例中,系统100包括以下一个或多个:被配置为等离子体腔室以执行一个或多个操作的第一表面保护处理腔室124,所述操作包括SiN覆盖、Si覆盖、或金属硅化物膜或金属锗化物膜的氮化作用;和/或被配置为能够形成Ti或TiN封盖的等离子体腔室的第二表面保护处理腔室124。一个或多个表面保护处理腔室124可包括第三表面保护处理腔室124,被配置为对金属硅化物膜或金属锗化物膜执行氮化作用并且形成Ti或TiN封盖。在一个实例中,一个或多个表面保护处理腔室124可包括第四表面保护处理腔室124,被配置为执行氮化作用而不形成封盖;及第五表面保护处理腔室124,被配置为在金属硅化物或金属锗化物膜上形成Si封盖。
系统100包括一个或多个传感器,所述传感器监测系统的一个或多个方面的条件和/或性质,如传送腔室112的传送空间118。系统100包括具有一个或多个传感器191a-191d(图示四个)的一个或多个模块190(图标一个)。具有传感器191a-191d的模块190设置在传送腔室112的传送空间118中。具有传感器191a-191d的模块190可附接至传送腔室112,如附接至传送腔室112的内表面。传感器191a-191d中的至少一个被配置为检测、监测和/或测量传送空间118中的污染物及其浓度,如当中央传送机器人116传送基板时。在一个实例中,一个或多个传感器191a-191d包括一个或多个原位计量传感器。传感器191a-191d中的至少一个被配置为检测、监测和/或测量在系统100中处理的基板的条件和/或性质,如当基板正由中央传送机器人116传送时。在一个实例中,一个或多个传感器191a-191d包括一个或多个晶片上光谱传感器,和/或一个或多个污染物传感器。在一个实例中,一个或多个传感器191a-191d包括一个或多个氧传感器、一个或多个水蒸气传感器、一个或多个X射线荧光光谱(X-ray fluorescence spectroscopy;XRF)传感器、和/或一个或多个X射线光电光谱(X-ray photoelectron spectroscopy;XPS)传感器。由控制器120执行的多个指令包括指示一个或多个传感器191a-191d检测、监测、和/或测量污染物、条件和/或性质的指令。
处理腔室104、处理腔室106、处理腔室108、处理腔室110、和/或处理腔室124的一些或全部可用以形成MOSFET装置,如在下文图2中的方法中论述的。图2为根据本公开内容的一个实施方式的用于形成MOSFET装置的方法200。方法200在下文关于图1中系统100论述。在操作202,预清洁操作发生在预清洁处理腔室108中。在一个实例中,在操作202处单独使用第一预清洁处理腔室108,以从基板移除自然氧化物。第一预清洁处理腔室108可使用等离子体的氢,或NF3/NH3混合物,以在操作202期间从基板移除自然氧化物。另外或替代地,在操作202,可使用第二预清洁腔室108。第二预清洁腔室108使用等离子体,如氢等离子体、氩等离子体、或氢-氩等离子体,以从基板移除残余碳。如上文论述,残余碳可为上游操作(如包括使用硬掩模的操作)的结果。在一个实例中,在操作202,将基板传送进第一预清洁处理腔室108中,以首先从基板移除自然氧化物,随后进入第二预清洁腔室以移除残余碳。在一个实例中及在操作202,将基板传送进第二预清洁处理腔室108中以移除残余碳,并随后传送进第一预清洁处理腔室108中以移除自然氧化物。
在一个实例中及在操作202,利用第三预清洁处理腔室108。顺序地一起使用第一预清洁处理腔室108及第三预清洁处理腔室108。在此实例中,可将基板传送至第一预清洁处理腔室108以从基板移除自然氧化物,并随后传送至第三预清洁处理腔室108,第三预清洁处理腔室108被配置为使用Cl等离子体、或氢-氩等离子体以清洁基板。在一个实例中及在操作202,可将基板传送至第三预清洁处理腔室108以使用Cl等离子体或氢-氩等离子体清洁基板,并随后传送至第一预清洁处理腔室108中以从基板移除自然氧化物。在操作202处预清洁操作的一个实例中,以不同顺序及组合使用第一预清洁处理腔室108、第二预清洁处理腔室108、及第三预清洁处理腔室108,以移除自然氧化物和/或残余碳及清洁基板。
在操作204,源极和漏极的外延沉积发生例如在源极和漏极外延沉积腔室中,如图1中s/d腔室104及/或s/d腔室106。在其中在方法200中制造NMOS装置的实例中,在操作204,NMOS腔室106用以形成N型源极及N型漏极。在其中在方法200中制造PMOS装置的实例中,在操作204,PMOS腔室104用以形成P型源极及P型漏极。在一个实例中,操作204发生在操作202之后。在NMOS和/或PMOS制造的任一个或两个的实例中,在操作202接收具有已经沉积源极和漏极的基板。在其中已经沉积源极和漏极的一个实例中,在操作202处预清洁基板但不执行操作204,并且方法进行至操作206。
在操作206,例如通过使用CVD处理在源极和漏极上形成金属硅化物膜或金属锗化物膜。使用本文论述的一个或多个膜形成处理腔室110,可形成操作206处形成的膜。一旦在操作206形成膜,方法200可进行至操作208。然而,在一个实例中,在操作206处膜形成之前,可执行一个或多个预处理以在操作206处促进膜形成。在一个实例中,s/d腔室104、s/d腔室106被配置为执行一个或多个预处理以在操作206促进膜形成。在一个实例中,在操作204期间在NMOS腔室106或PMOS腔室104中,一个或多个预处理操作可执行作为源极/漏极形成的部分。一个或多个预处理可在子操作中执行,在操作204处源极/漏极形成之后,但在操作206将基板传送至膜形成处理腔室110之前。作为操作206的部分,将基板传送至膜形成处理腔室110之后,预处理操作可作为子操作进行。执行的预处理操作可包括预处理基板(例如,源极和漏极)以增大基板表面的掺杂浓度和/或增大基板的表面粗糙度。在一个实例中,接收没有形成源极/漏极的基板,并且方法200在操作204处不包括源极/漏极形成。在此实例中,在操作202处预清洁基板,并随后在操作206在邻近于待制造的MOSFET装置的沟道区域的基板上形成金属硅化物或金属锗化物膜。
在操作206处形成金属硅化物或锗化物之后,在操作208在一个或多个表面保护处理腔室124(图1中图示一个,但设想额外的表面保护处理腔室124)中覆盖或钝化基板表面。例如,在操作208处,基板可经历氮化作用以防止氧化,或具有沉积的封盖(包括SiN、Ti、TiN和/或Si)。在一个实例中及在操作208,第一表面保护处理腔室124用于氮化作用。在一个实例中及在操作208,在第一表面保护处理腔室124或第二表面保护处理腔室124的任一个中在基板上形成Si封盖。在操作208使用的一个或多个表面保护处理腔室124可取决于MOSFET制造处理。例如,在金属栅极形成之前,或在金属栅极形成之后,可形成金属硅化物或金属锗化物膜。在一个实例中,在操作208,当在金属栅极形成之前执行操作206时,可在第一表面保护处理腔室124中形成SiN封盖。在一个实例中及在操作208,当在金属栅极形成之后执行操作206时,可在第二表面保护处理腔室124中形成Ti或TiN封盖层。
在方法200的一个实例中,在操作202处系统100用以预清洁基板,及随后在操作204源极及漏极形成于基板上。在操作206处,硅化物或锗化物膜形成于源极及漏极上。随后,表面保护操作在操作208处执行,并包括氮化作用和/或使用氮化硅(SiN)、钛(Ti)、氮化钛(TiN)和/或硅(Si)覆盖。在一个实例中,如上论述,系统100被配置为在操作202接收包括源极和漏极的基板。在此实例中,在操作202预清洁基板,在操作206形成硅化物或锗化物膜,及随后在操作208执行表面保护操作。当在操作202接收具有已经形成的源极和漏极的基板时,操作208可包括使用表面保护处理腔室124。在操作210,可将基板传送至系统100外的另一系统,如图1中图标的第二系统122。第二系统122可被配置为执行操作,包括膜形成、图案化及热退火。当将基板传送出系统100和/或传送进另一系统(如第二系统122)时,在操作208处的覆盖或氮化作用促进保护金属硅化物或金属锗化物层免于形成不期望的氧化物或缺陷,若基板暴露于潜在污染的处理环境。
一个或多个传感器,如上述一个或多个传感器191a-191d,可在方法200中检测、监测和/或测量污染物、条件和/或性质。在一个实例中,在执行方法200的操作202、操作204、操作206、操作208和/或操作210的方面期间、之前和/或之后,一个或多个传感器191a-191d检测、监测和/或测量污染物、条件和/或性质。
使用本文论述的系统及方法,群集工具包括围绕传送腔室布置的处理腔室。群集工具可用以执行各种操作,包括预清洁基板、形成源极和漏极、在源极和漏极上形成金属硅化物膜或金属锗化物膜、和/或在膜上形成保护层的操作。通过在将MOSFET装置传送至不同系统用于后续操作之前制造膜及保护膜,保存膜的完整性,从而促进后续特征(包括触点)的成功形成。
尽管上述内容涉及本发明的实施方式,但是也可在不脱离本发明的基本范围的情况下设计本发明的其他和进一步实施方式,并且本发明的范围是由随附权利要求书确定。

Claims (15)

1.一种计算机可读介质,包括被配置为致使系统执行以下步骤的指令:
将基板从传送腔室传送进预清洁处理腔室用于预清洁操作;
在所述预清洁处理腔室中执行所述预清洁操作;
在所述预清洁操作之后,将所述基板从所述预清洁处理腔室传送进源极/漏极外延沉积处理腔室;
在所述源极/漏极外延沉积处理腔室中在所述基板上形成源极和漏极;
在所述源极/漏极外延沉积处理腔室中形成所述源极和所述漏极之后,将所述基板从所述源极/漏极外延沉积处理腔室传送进膜形成处理腔室中,以在所述源极和所述漏极上形成金属硅化物或金属锗化物;
在所述膜形成处理腔室中在所述源极和所述漏极上形成所述金属硅化物或所述金属锗化物;
在形成所述源极和所述漏极之后,将所述基板从所述膜形成处理腔室传送进表面保护处理腔室;和
在所述表面保护处理腔室中执行表面保护操作。
2.如权利要求1所述的计算机可读介质,其中所述指令进一步被配置为在将所述基板传送至所述膜形成处理腔室之前在所述源极/漏极外延沉积处理腔室中执行预硅化物处理。
3.如权利要求1所述的计算机可读介质,其中执行所述预清洁操作的步骤包括以下步骤:
从所述基板移除自然氧化物或残余碳中的一种或多种。
4.如权利要求1所述的计算机可读介质,其中:
在所述基板上形成所述源极和所述漏极的步骤包括以下步骤的一个或多个:形成N型源极和N型漏极,或者形成P型源极和P型漏极;和
执行所述表面保护操作的步骤包括以下步骤的一个或多个:在所述源极和所述漏极上形成覆盖层,或者氮化所述源极和所述漏极。
5.如权利要求1所述的计算机可读介质,其中所述指令进一步被配置为指示一个或多个传感器以测量所述传送腔室中的一种或多种污染物和/或所述基板的一个或多个性质。
6.一种处理基板的方法,包括以下步骤:
使用设置在传送腔室的传送空间中的中央传送机器人,将基板传送进多个处理腔室中的第一处理腔室中,所述第一处理腔室被配置为执行至少一个预清洁操作;
在所述第一处理腔室中执行所述至少一个预清洁操作;
在所述至少一个预清洁操作之后,从所述第一处理腔室传送所述基板穿过所述传送腔室的所述传送空间,并进入所述多个处理腔室的第二处理腔室中,所述第二处理腔室被配置为在所述基板上形成源极和漏极;
在所述多个处理腔室中的所述第二处理腔室中在所述基板上形成所述源极和所述漏极;
在形成所述源极和所述漏极之后,从所述第二处理腔室传送所述基板穿过所述传送腔室的所述传送空间,并进入所述多个处理腔室中的第三处理腔室,所述第三处理腔室被配置为在所述源极和所述漏极上形成膜;
在所述第三处理腔室中在所述源极和所述漏极上形成所述膜;
在形成所述源极和所述漏极之后,从所述第三处理腔室传送所述基板穿过所述传送腔室的所述传送空间,并进入所述多个处理腔室中的第四处理腔室,所述第四处理腔室被配置为在所述源极和所述漏极上形成保护膜;和
在所述第四处理腔室中在所述源极和所述漏极上形成所述保护膜。
7.如权利要求6所述的方法,其中所述膜包括金属硅化物或金属锗化物。
8.如权利要求6所述的方法,进一步包括以下步骤:使用设置在所述传送腔室的所述传送空间中的一个或多个传感器,测量所述传送空间中的一种或多种污染物和/或所述基板的一个或多个性质。
9.如权利要求6所述的方法,其中执行所述至少一个预清洁操作的步骤包括以下步骤:
从所述基板移除自然氧化物或残余碳中的一种或多种。
10.如权利要求6所述的方法,其中:
在所述基板上形成所述源极和所述漏极的步骤包括以下步骤的一个或多个:
形成N型源极和N型漏极,或者形成P型源极和P型漏极;和
在所述源极和所述漏极上形成所述保护膜的步骤包括以下步骤的一个或多个:
在所述源极和所述漏极上形成覆盖层,或者氮化所述源极和所述漏极。
11.一种系统,包括:
多个处理腔室,其中所述多个处理腔室中的第一处理腔室被配置为预清洁操作,所述多个处理腔室的第二处理腔室被配置为外延沉积操作,并且所述多个处理腔室的第三处理腔室被配置为执行覆盖层形成操作或执行氮化作用操作的至少一个;
传送腔室,耦接至所述多个处理腔室中的每个处理腔室;
中央传送机器人,被配置为将基板在所述传送腔室与所述多个处理腔室中的一个或多个中及之间传送;和
控制器,被配置为执行多个指令,包括将所述基板传送至所述多个处理腔室中的哪些处理腔室,当传送所述基板时在所述多个处理腔室中的所述处理腔室中执行的操作,及将所述基板传送至所述处理腔室的顺序。
12.如权利要求11所述的系统,其中使用所述多个指令使用所述中央传送机器人将所述基板传送至一个或多个处理腔室。
13.如权利要求11所述的系统,其中所述多个处理腔室包括被配置为在所述源极和所述漏极上形成膜的第四处理腔室。
14.如权利要求11所述的系统,进一步包括:
被配置为测量所述传送腔室中的一种或多种污染物的一个或多个传感器,包括以下者的至少一个:一个或多个氧传感器或一个或多个水蒸气传感器;和
被配置为测量所述基板的一个或多个性质中的一个或多个传感器,包括以下者的至少一个:一个或多个XRF传感器或一个或多个XPS传感器。
15.如权利要求11所述的系统,进一步包括:
被配置为测量所述传送腔室中的一种或多种污染物的一个或多个传感器;和
被配置为测量所述基板的一个或多个性质的一个或多个传感器,其中所述控制器被配置为执行的所述多个指令包括指示以下步骤的指令:
被配置为测量一种或多种污染物的所述一个或多个传感器测量所述传送腔室中一种或多种污染物的浓度;和
被配置为测量一个或多个性质的所述一个或多个传感器测量所述基板的一个或多个性质。
CN201980059554.XA 2018-09-18 2019-09-03 原位集成型腔室 Pending CN112930591A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862732961P 2018-09-18 2018-09-18
US62/732,961 2018-09-18
PCT/US2019/049334 WO2020060751A1 (en) 2018-09-18 2019-09-03 In-situ integrated chambers

Publications (1)

Publication Number Publication Date
CN112930591A true CN112930591A (zh) 2021-06-08

Family

ID=69773065

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980059554.XA Pending CN112930591A (zh) 2018-09-18 2019-09-03 原位集成型腔室

Country Status (5)

Country Link
US (1) US11037838B2 (zh)
KR (1) KR102568706B1 (zh)
CN (1) CN112930591A (zh)
TW (3) TWI786329B (zh)
WO (1) WO2020060751A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220367560A1 (en) * 2021-05-17 2022-11-17 Applied Materials, Inc. Poly-silicon based word line for 3d memory
US20240141483A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Apparatus, systems, and methods of using an atmospheric epitaxial deposition transfer chamber

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1801464A (zh) * 2005-01-07 2006-07-12 台湾积体电路制造股份有限公司 半导体装置与半导体装置的制造方法
CN101170066A (zh) * 2006-10-24 2008-04-30 联华电子股份有限公司 半导体元件及其制造方法
CN101661906A (zh) * 2008-08-29 2010-03-03 宜扬科技股份有限公司 非易失性存储单元的制造方法
US20150044842A1 (en) * 2013-08-09 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating Junction Formation of Transistors with Contact Formation
CN106409662A (zh) * 2016-11-09 2017-02-15 上海华力微电子有限公司 无硅化物损伤的应力临近技术刻蚀方法
US20180076065A1 (en) * 2016-09-15 2018-03-15 Applied Materials, Inc. Integrated system for semiconductor process

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414057A (en) 1982-12-03 1983-11-08 Inmos Corporation Anisotropic silicide etching process
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6130145A (en) 1998-01-21 2000-10-10 Siemens Aktiengesellschaft Insitu doped metal policide
US6194315B1 (en) 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US20030012631A1 (en) * 2001-07-12 2003-01-16 Pencis Christopher H. High temperature substrate transfer robot
US6780694B2 (en) * 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US7402207B1 (en) * 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
JP2009535859A (ja) 2006-05-01 2009-10-01 アプライド マテリアルズ インコーポレイテッド 炭素を混合したsi膜を使用した極浅接合形成の方法
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
JP5214261B2 (ja) * 2008-01-25 2013-06-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9054194B2 (en) 2009-04-29 2015-06-09 Taiwan Semiconductor Manufactruing Company, Ltd. Non-planar transistors and methods of fabrication thereof
CN106847811B (zh) 2011-12-20 2021-04-27 英特尔公司 减小的接触电阻的自对准接触金属化
US9153583B2 (en) 2011-12-20 2015-10-06 Intel Corporation III-V layers for N-type and P-type MOS source-drain contacts
CN113862634A (zh) * 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9245798B2 (en) 2012-04-26 2016-01-26 Applied Matrials, Inc. Semiconductor reflow processing for high aspect ratio fill
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US20140065819A1 (en) 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US9620601B2 (en) 2014-07-01 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structures and methods of forming the same
KR102171023B1 (ko) 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
KR20160039957A (ko) * 2014-10-02 2016-04-12 삼성전자주식회사 이온 발생기를 갖는 기판 이송 시스템
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
KR101709586B1 (ko) * 2016-02-18 2017-02-24 (주)마스 반도체제조용 이송챔버
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1801464A (zh) * 2005-01-07 2006-07-12 台湾积体电路制造股份有限公司 半导体装置与半导体装置的制造方法
CN101170066A (zh) * 2006-10-24 2008-04-30 联华电子股份有限公司 半导体元件及其制造方法
CN101661906A (zh) * 2008-08-29 2010-03-03 宜扬科技股份有限公司 非易失性存储单元的制造方法
US20150044842A1 (en) * 2013-08-09 2015-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating Junction Formation of Transistors with Contact Formation
US20180076065A1 (en) * 2016-09-15 2018-03-15 Applied Materials, Inc. Integrated system for semiconductor process
CN106409662A (zh) * 2016-11-09 2017-02-15 上海华力微电子有限公司 无硅化物损伤的应力临近技术刻蚀方法

Also Published As

Publication number Publication date
TW202324577A (zh) 2023-06-16
TWI798148B (zh) 2023-04-01
KR102568706B1 (ko) 2023-08-21
TWI815776B (zh) 2023-09-11
US20200091010A1 (en) 2020-03-19
TW202247329A (zh) 2022-12-01
US11037838B2 (en) 2021-06-15
KR20210046079A (ko) 2021-04-27
WO2020060751A1 (en) 2020-03-26
TW202032688A (zh) 2020-09-01
TWI786329B (zh) 2022-12-11

Similar Documents

Publication Publication Date Title
KR102437937B1 (ko) 고 체적 제조 애플리케이션들을 위한 cvd 기반 금속/반도체 오믹 컨택트
US9171718B2 (en) Method of epitaxial germanium tin alloy surface preparation
US9441298B2 (en) Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
US8168548B2 (en) UV-assisted dielectric formation for devices with strained germanium-containing layers
US11164767B2 (en) Integrated system for semiconductor process
KR102253546B1 (ko) 도핑된 게르마늄 형성 방법
US11037838B2 (en) In-situ integrated chambers
JP7455968B2 (ja) Pmos高誘電率金属ゲート
US20080069952A1 (en) Method for cleaning a surface of a semiconductor substrate
US20240052480A1 (en) Methods for Selective Molybdenum Deposition
TWI840682B (zh) 用於半導體製程的整合系統

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination