CN104347423A - 集成结和接触件的形成以形成晶体管 - Google Patents

集成结和接触件的形成以形成晶体管 Download PDF

Info

Publication number
CN104347423A
CN104347423A CN201310662452.1A CN201310662452A CN104347423A CN 104347423 A CN104347423 A CN 104347423A CN 201310662452 A CN201310662452 A CN 201310662452A CN 104347423 A CN104347423 A CN 104347423A
Authority
CN
China
Prior art keywords
semiconductor regions
impurity layer
regions
drain
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310662452.1A
Other languages
English (en)
Other versions
CN104347423B (zh
Inventor
王立廷
蔡腾群
林群雄
林正堂
陈继元
李弘贸
张惠政
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104347423A publication Critical patent/CN104347423A/zh
Application granted granted Critical
Publication of CN104347423B publication Critical patent/CN104347423B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开了集成结和接触件的形成以形成晶体管,其中,一种方法包括在半导体区域上方形成栅极堆叠件,在半导体区域上方沉积杂质层,在杂质层上方沉积金属层。然后实施退火,其中,杂质层中的元素通过退火扩散进半导体区域的一部分内以形成源极/漏极区域,并且金属层与半导体区域的该部分的表面层反应以在源极/漏极区域上方形成源极/漏极硅化物区域。

Description

集成结和接触件的形成以形成晶体管
技术领域
本发明涉及集成结和接触件的形成以形成晶体管。
背景技术
晶体管的形成涉及多个工艺步骤,包括形成栅极电介质和栅电极,形成源极和漏极区域,以及形成用于源极和漏极区域(也可能用于栅电极)的硅化物区域。每个以上列出的部件的形成还可以涉及多个工艺步骤。另外,实施多种清洗工艺以去除不期望的物质,诸如所形成部件的氧化物。这些工艺步骤导致集成电路制造成本的增加。另外,晶体管的形式可以包括多个热工艺,并且产生的热预算较高。例如,在形成源极和漏极区域之后,实施热激活以激活源极和漏极区域中的杂质。硅化物区域的形成也需要一些热预算。
发明内容
根据本发明的一个方面,提供了一种方法,包括:在半导体区域上方形成栅极堆叠件;在半导体区域上方沉积杂质层;在杂质层上方沉积金属层;以及实施退火,其中,杂质层中的元素通过退火扩散进半导体区域的一部分内以形成源极/漏极区域,并且金属层与半导体区域的一部分的表面层反应以在源极/漏极区域上方形成源极/漏极硅化物区域。
优选地,在退火的步骤之前,没有额外的重掺杂源极/漏极区域形成在半导体区域中。
优选地,该方法进一步包括:在沉积杂质层之前,在半导体区域的顶面上实施预清洗以去除在半导体区域的顶面上形成的自然氧化物。
优选地,该方法进一步包括,在预清洗之前:在半导体衬底中形成浅沟槽隔离(STI)区域;去除半导体衬底的顶部以形成凹槽,其中,顶部位于STI区域的相对部分之间;以及在凹槽中实施外延以再生长半导体材料,从而形成半导体区域。
优选地,该方法进一步包括:使STI区域凹进,其中,半导体区域位于凹进的STI区域之间的部分形成半导体鳍,并且栅极堆叠件形成在半导体鳍的顶面和侧壁上。
优选地,沉积杂质层包括将工艺气体引入腔室内,包含半导体区域的晶圆设置在腔室中,并且在沉积杂质层的过程中,没有等离子体产生。
优选地,沉积杂质层包括将工艺气体引入腔室内,包含半导体区域的晶圆设置在腔室中,并且在沉积杂质层的过程中,由工艺气体产生等离子体。
根据本发明的另一方面,提供了一种方法,包括:在生产工具中,将杂质层形成在半导体晶圆的半导体区域上方,其中,晶圆包括位于半导体区域上方的栅极堆叠件;在生产工具中,将金属层沉积在杂质层上方并与杂质层接触,其中,在从开始形成杂质层至完成沉积金属层的时间段内,生产工具保持真空;以及实施退火,其中,杂质层中的元素扩散进半导体区域的一部分内以形成源极/漏极区域,并且金属层与半导体区域的一部分的表面层反应以在源极/漏极区域上方形成源极/漏极硅化物区域。
优选地,当实施退火时,没有额外的保护层位于金属层上方。
优选地,该方法进一步包括:在半导体衬底中形成浅沟槽隔离(STI)区域;去除半导体衬底的顶部以形成凹槽,其中,顶部位于STI区域的相对部分之间;以及在凹槽中实施外延以再生长半导体材料,从而形成半导体区域。
优选地,在生产工具外实施退火。
优选地,半导体区域包括Ⅲ-Ⅴ族半导体材料,其中,形成杂质层包括将选自基本上由(NH4)2S和SiH4组成的组中的工艺气体引入生产工具内。
优选地,该方法进一步包括:在半导体区域上方形成栅极堆叠件;在栅极堆叠件上形成栅极间隔件;以及对半导体区域实施预清洗,其中,在从预清洗开始的第一时间点至结束沉积金属层的第二时间点之间的时间段内,不实施退火。
优选地,源极/漏极区域和栅极堆叠件包括在平面晶体管内。
根据本发明的又一方面,提供了一种方法,包括:在半导体区域上方形成栅极堆叠件;对半导体区域的表面实施预清洗;将杂质层沉积在半导体区域的表面上并与半导体区域的表面物理接触,其中,杂质层包括配置以将半导体区域转变为p型或者n型的杂质;将金属层沉积在杂质层上并与杂质层接触;以及实施退火,其中,杂质层中的元素扩散进半导体区域的一部分内以在半导体区域中形成源极/漏极区域,并且金属层与半导体区域的一部分的表面层反应以在源极/漏极区域上方形成源极/漏极硅化物区域,并且在退火过程中,没有额外的保护层形成在金属层上方。
优选地,在退火之前,没有额外的重掺杂的源极/漏极区域形成在半导体区域中。
优选地,该方法进一步包括:在预清洗之前,在半导体衬底中形成浅沟槽隔离(STI)区域;去除半导体衬底的顶部以形成凹槽,其中,顶部位于STI区域的相对侧壁之间;以及在凹槽内实施外延以再生长半导体材料,从而形成半导体区域。
优选地,该方法进一步包括:使STI区域凹进,其中,半导体区域位于凹进的STI区域之间的部分形成半导体鳍,并且栅极堆叠件形成在半导体鳍的顶面和侧壁上。
优选地,沉积杂质层包括将工艺气体引入腔室内,包含半导体区域的晶圆设置在腔室中,并且在沉积杂质层的过程中,没有等离子体产生。
优选地,沉积杂质层包括将工艺气体引入腔室内,包含半导体区域的晶圆设置在腔室中,并且在沉积杂质层的过程中,由工艺气体产生等离子体。
附图说明
为了更全面地理解实施例及其优势,现将结合附图所进行的以下描述作为参考,其中:
图1至图9是根据一些示例性实施例的晶体管制造的中间阶段的截面图;以及
图10示出了根据一些实施例的生产工具,生产工具用于形成根据实施例的晶体管。
具体实施方式
下面,详细讨论本发明各实施例的制造和使用。然而,应该理解,实施例提供了许多可以在各种具体环境中实现的可应用的概念。所讨论的具体实施例仅仅用于示出,而不用于限制本发明的范围。
根据各个示例性实施例,本发明提供了一种晶体管及其成形方法。示出了形成晶体管的中间阶段。讨论了实施例的变化。在文中的各个视图和示例性实施例中,相同的参考符号用于指示相同的元件。
根据示例性实施例,图1至图9示出了在半导体鳍和鳍式场效应晶体管(FinFET)形成的中间阶段的截面图。参见图1,提供了作为半导体晶圆100一部分的衬底10。衬底10可以是单晶硅衬底。可选地,衬底10由诸如碳化硅的其它材料形成。诸如浅沟槽隔离(STI)区域14的隔离区域形成在衬底10中。因此,衬底10包括位于STI区域14之间的区域10A以及位于STI区域14下方的区域10B。STI区域14的形成工艺可以包括蚀刻衬底10以形成凹槽(被STI区域14占据),用电介质材料填充凹槽,并且实施平坦化以去除多余的电介质材料。电介质材料的剩余部分形成STI区域14。在一些实施例中,STI区域14包括氧化硅。
然后,如图2所示,蚀刻位于STI区域14的相对侧壁之间的衬底10的区域10A以形成沟槽16。在一些实施例中,暴露于沟槽16的衬底10的顶面10’基本上与STI区域14的底面14A平齐。在可选实施例中,衬底部分10A的顶面10’高于或低于STI区域14的底面14A。蚀刻可以使用干蚀刻实施,蚀刻气体选自CF4、Cl2、NF3、SF6和它们的组合。在可选实施例中,蚀刻可以使用湿蚀刻实施,例如使用四甲基氢氧化铵(TMAH),氢氧化钾(KOH)溶液等作为蚀刻剂。在所得到的结构中,沟槽16可以具有小于约150nm的宽度W1。宽度W1也可以介于约10nm和约100nm之间。然而,应该理解,整个说明书中列举的数值只是实例,且其可以改变为不同的数值。
图3示出了从暴露的顶面10’外延生长的半导体区域18。在一些实施例中,半导体区域18包括III-V族化合物半导体材料,其可以是二元或三元的III-V族化合物半导体材料。用于形成半导体区域18的示例性III-V族化合物半导体材料可以选自InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和它们的组合。半导体区域18可以是同质区域,整个半导体区域18由相同材料形成,材料可以选自以上列出的Ⅲ-Ⅴ族化合物半导体材料。半导体区域18也可以是复合区域,其具有包括不同材料和/或具有不同组成的多个堆叠的层。例如,和半导体区域18的下部相比,半导体区域18的上部与衬底10可以具有较大的晶格失配度。例如,在可选实施例中,半导体区域18包括硅锗,其可以具有介于约15%和约60%之间的锗百分比。锗百分比也可以更高。在一些实施例中,半导体区域18是基本上的纯锗区域,例如,锗百分比高于约95%。在可选实施例中,半导体区域18包括硅磷。
可以继续外延直到半导体区域18的顶面高于STI区域14的顶面14B。然后实施平坦化。平坦化可以包括化学机械抛光(CMP)。继续平坦化直到没有半导体区域18剩下并覆盖STI区域14。然而,在平坦化之后保留STI区域14之间的半导体区域18的部分,其在下文中称为半导体带18。在可选实施例中,当半导体区域18的顶面水平于或低于STI区域14的顶面14B时,停止外延。在这些实施例中,可以跳过或者可以实施平坦化步骤。
参见图4,例如,通过蚀刻步骤,使STI区域14凹进。因此,半导体带18的一部分高于STI区域14的顶面14B。半导体带18的这部分形成半导体鳍22,其可以用来形成FinFET。
如图5A所示,形成栅极堆叠件34,栅极堆叠件34包括栅极电介质26,栅电极28和硬掩模30。栅极电介质26可以由诸如氧化硅、氮化硅、氮氧化物、它们的多层和它们的组合的电介质材料形成。栅极电介质26也可以包括高k电介质材料。示例性高k电介质材料可以具有高于约4.0或高于约7.0的k值。栅电极28可以由多晶硅、金属、金属氮化物、金属硅化物等形成。栅极电介质26的底端可以与STI区域14的顶面接触。
图5B示出了图5A中的结构的截面图,其中,通过包含图5A中的线5B-5B的垂直平面获得该截面图。如图5B所示,栅极堆叠件34覆盖半导体鳍22的中心部分,并暴露半导体鳍22的相对端部。例如,通过注入在半导体鳍22中形成轻掺杂漏极/源极(LDD)区域31。然后,栅极间隔件32形成在栅极堆叠件34的侧壁上。LDD区域31的导电类型与得到的FinFET的导电类型相同。
在一些实施例中,如图6所示,实施预清洗步骤(通过箭头33表示)以清洗半导体鳍22的表面。例如,自然氧化物(本征氧化物,native oxide)36可以出现在半导体鳍22的表面,其中由于半导体鳍22暴露在开放的空气中,因此形成自然氧化物36。根据一些实施例,通过例如使用氩气作为溅射气体的溅射去除自然氧化物36。在可选实施例中,通过使用氢气(H2)和氟化氢(HCl)的混合气体作为蚀刻气体的蚀刻去除自然氧化物36。在又一可选实施例中,通过使用氯气(Cl2)作为蚀刻气体的蚀刻去除自然氧化物36。在一些实施例中,在预清洗期间,在蚀刻气体存在的情况下,对晶圆100实施高温烘烤。烘烤温度可以介于约700℃和约900℃之间。例如,蚀刻气体的压力可以介于约10托至200托之间。例如,预清洗可以持续介于约30秒至约4分钟之间的一段时间。通过预清洗,去除自然氧化物36。
图10示出了生产工具102的示意图,其包括用于装载和卸载图6所示晶圆100的装载和卸载站104。生产工具102也包括用于实施随后的工艺步骤的腔室108、110和112。腔室106用于将晶圆100(图6)在腔室108、110和112之间转移。配置生产工具102,使得晶圆100在每个腔室108、110和112中被处理期间以及晶圆100在腔室106、108、110和112之间转移期间,生产工具102的内部保持真空,并且使得晶圆100不暴露在开放的空气中,直到晶圆100通过装载/卸载站104转移出生产工具102。晶圆100的示例性转移顺序用箭头114示出。根据一些实施例,在腔室108中实施预清洗。在预清洗之后,晶圆100通过腔室106转换至腔室110。
参见图7,杂质层38形成在半导体鳍22的暴露表面上。在图10中,在腔室110中实施杂质层38的形成。根据一些实施例,杂质层38包括杂质/元素,当将杂质/元素掺杂到半导体鳍22内时,将使半导体鳍22成为p型或者n型。杂质层38的期望元素取决于半导体鳍22的材料以及所得到晶体管期望的导电类型。例如,当半导体鳍22包括III-V族化合物半导体时,杂质层38可以包括硫、硅等。当半导体鳍22包括硅、硅锗、硅磷、碳化硅等时,杂质层38可以包括磷和砷,以使得到的晶体管成为n型,并且杂质层38可以包括硼、铟等,以使得到的晶体管成为p型。例如,杂质层38可以具有介于约5nm和约40nm范围内的厚度T1。然而,应该理解,说明书中所列举的数值仅仅是实例,并且可以改变成不同的数值。例如,杂质层38可以是基本上纯的层,其包括基本上纯的杂质元素,并将可以使得到的掺杂半导体鳍22成为p型或者n型,杂质元素具有高于90%的百分比。可选地,杂质层38可以包括杂质元素和一些其它的元素,例如用于沉积杂质层38的载气中的元素。
根据一些实施例,在沉积杂质层38期间,将包含杂质层38所期望元素的工艺气体引入腔室110(图10)内,在腔室110中沉积杂质层38。可以使用气相杂质沉积系统实施杂质层38的沉积。在一些实施例中,工艺气体包括载气和含有杂质的气体,含有杂质的气体包含用来将半导体鳍22转换成为p型或者n型的杂质。工艺气体可以包括氢气(H2)、氮气(N2)或诸如氩气和/或氦气的惰性气体,。含有杂质的气体可以包括(NH4)2S(其用于引入硫)或SiH4(其用于引入硅)。腔室110(图10)中的含有杂质的气体可以具有高浓度,例如具有高于约10托的局部压力。含有杂质的气体的流速也可以高于约10sccm。在引入含有杂质的气体的过程中,晶圆100的温度可以是室温,例如介于约18℃和25℃的范围内,或者可以是较高的温度,例如介于约25℃和约400℃之间。在一些实施例中,在杂质层38的沉积过程中,并未从对应的含有杂质的气体以及载气中产生等离子体。在存在高浓度的含有杂质的气体的情况下,含有杂质的气体中的杂质元素被晶圆100吸收并因此沉积。
在可选实施例中,在等离子体沉积步骤中形成杂质层38,其中,从包括含有杂质的气体的工艺气体中产生等离子体。因此,含有杂质的气体中的杂质元素沉积在晶圆100上。
然后,再如图7所示,金属层40形成在杂质层38上,并且金属层40覆盖杂质层38。可以在图10的腔室112中实施金属层40的形成。在晶圆100通过腔室106从腔室110转移到腔室112的过程中,生产工具102中可以保持真空。在一些实施例中,金属层40包括镍、钴、钛、铂等。金属层40的厚度T2可以介于约和约之间,厚度T2也可以更大或更小。可以使用诸如原子层沉积(ALD)的共形沉积法实施金属层40的形成。可选地,可以使用物理汽相沉积(PVD)。金属层40可以覆盖整个杂质层38。在一些实施例中,从结束杂质层38的沉积到开始金属层40的沉积的整个期间内,在晶圆100上不实施温度高于300℃的退火。此外,在从开始预清洗半导体鳍22到结束金属层40的沉积的整个期间内,不实施温度高于约300℃的退火。因此,如图7所示,在形成金属层40之后,保留杂质层38以覆盖半导体鳍22,并且保留金属层40以覆盖杂质层38。在一些实施例中,在金属层40形成之后,基本上没有杂质层38扩散到半导体鳍22内。
然后,对晶圆100实施退火步骤。在实施退火的时,没有额外的保护层(例如,任何电介质保护层)形成在金属层40上方。作为退火的结果,杂质层38的杂质元素扩散进半导体鳍内以形成源极和漏极区域42。所得到的结构如图8所示。根据一些实施例,在用于形成源极和漏极区域42的退火之前,除可以形成LDD区域31外,不形成其他重掺杂(深)的源极/漏极区域。
此外,作为退火的结果,金属层40与半导体鳍22反应以形成源极和漏极硅化物区域44。根据一些实施例,使用例如热浸(thermal soaking)、峰值退火、快速退火、激光退火等实施退火。退火时间可以介于约0.1ms至几分钟的范围内。在退火期间,源极/漏极区域42和硅化物区域44的温度可以介于约300℃和1200℃范围内。在退火之后,去除没有与半导体鳍22反应的金属层40的额外部分。
由于在退火之前金属层40覆盖杂质层38,因此可以在生产工具102(图10)外卸载晶圆100以实施退火。在可选实施例中,在生产工具102中实施退火,例如在腔室112中。作为退火的结果,源极/漏极区域42中的杂质也通过退火激活。实验结果表面通过本发明的实施例,使用二次离子质谱(SIMS)发现的激活杂质的浓度可以介于约1E18/cm3和约1E19/cm3之间。通过SIMS发现的浓度位于硅化物区域44和源极/漏极区域42之间的界面区域处。杂质的实际浓度可以高于使用SIMS发现的浓度。
图9示出了层间电介质(ILD)46和ILD46中的接触塞48的形成,其中接触塞48电连接至源极/漏极硅化物区域44。也去除硬掩模30(图8)。在一些实施例中,形成替代栅极以替代图8中的栅极电介质26和栅电极28。在可选实施例中,不使用替代栅极来替代栅极电介质26和栅电极28(图8)。在形成替代栅极的实施例中,栅极电介质26和栅电极28作为伪栅极。图9示出了包括替代栅极的示例性结构。形成工艺可以包括形成层间电介质(ILD)46,实施CMP以使ILD46的顶面与栅电极28的顶面30或者硬掩模30(如果存在)平齐,并去除图8中的栅极电介质26和栅电极28。然后,可以形成栅极电介质层和栅电极层以填充通过去除伪栅极留下的开口,接着,通过CMP去除栅极电介质层和栅电极层的多余部分。剩余的替代栅极包括栅极电介质26’和栅电极28’。栅极电介质26’可以包括k值大于约7.0的高k电介质材料,例如,栅电极28’可以包括金属或金属合金。ILD46可以由诸如磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺杂硼的磷硅酸盐玻璃(BPSG)等的电介质材料形成。从而完成晶体管50(其在实例性实施例中为FinFET)的形成。
虽然本发明讨论的实施例使用FinFET作为实例,但本发明的概念也适用于平面晶体管的形成。除跳过STI区域14的开槽步骤外,工艺步骤与实例性实施例相似。
本发明的实施例具有多个优势特征。当金属层形成时,实施源极和漏极区域的激活。因此,在源极和漏极区域激活退火的过程中,金属层作为保护层防止形成源极和漏极区域的杂质损失。此外,源极和漏极区域的硅化和激活共享相同的退火工艺,并且因此减少热预算。
根据一些实施例,一种方法包括:在半导体区域上方形成栅极堆叠件;在半导体区域上方沉积杂质层;以及在杂质层上方沉积金属层。然后实施退火,其中,杂质层中的元素通过退火扩散到半导体区域的一部分内以形成源极/漏极区域,并且金属层与半导体区域的该部分的表面层反应以形成源极/漏极区域上方的源极/漏极硅化物区域。
根据其它的实施例,一种方法包括:在生产工具中,形成位于半导体晶圆的半导体区域上方的杂质层,其中,晶圆包括半导体区域上方的栅极堆叠件。该方法进一步包括:在生产工具中,将金属层沉积在杂质层上并与杂质层接触。在从开始形成杂质层至完成沉积金属层的时间段内,生产工具保持真空。然后实施退火,其中,杂质层中的元素扩散到半导体区域的一部分内以形成源极/漏极区域,并且金属层与半导体区域的该部分的表面层反应以形成源极/漏极区域上方的源极/漏极硅化物区域。
根据另外的实施例,一种方法包括:在半导体区域上方形成栅极堆叠件;对半导体区域的表面实施预清洗;以及将杂质层沉积在半导体区域的表面上方并与半导体区域的表面物理接触。杂质层包括配置为将半导体区域转变为p型或者n型的杂质。然后,将金属层沉积在杂质层上方并与杂质层接触。实施退火,其中,杂质层中的元素扩散进半导体区域的一部分内,从而形成半导体区域内的源极/漏极区域,并且金属层与半导体区域的该部分的表面层反应以形成源极/漏极硅区域上方的源极/漏极硅化物区域。在退火过程中,没有额外的保护层形成在金属层上方。
尽管已经详细地描述了实施例及其优势,但应该理解,可以在不背离所附权利要求限定的实施例的精神和范围的情况下,做各种不同的改变,替换和更改。而且,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、手段、方法和步骤的特定实施例。作为本领域普通技术人员应理解,根据本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造、材料组分、手段、方法或步骤本发明可以被使用。相应的,附加的权利要求意指包括例如工艺、机器、制造、材料组分、手段、方法或步骤的范围。此外,每个权利要求构成一个独立的实施例,并且不同权利要求及实施例的组合均在本公开的范围之内。

Claims (10)

1.一种方法,包括:
在半导体区域上方形成栅极堆叠件;
在所述半导体区域上方沉积杂质层;
在所述杂质层上方沉积金属层;以及
实施退火,其中,所述杂质层中的元素通过所述退火扩散进所述半导体区域的一部分内以形成源极/漏极区域,并且所述金属层与所述半导体区域的所述一部分的表面层反应以在所述源极/漏极区域上方形成源极/漏极硅化物区域。
2.根据权利要求1所述的方法,其中,在所述退火的步骤之前,没有额外的重掺杂源极/漏极区域形成在所述半导体区域中。
3.根据权利要求1所述的方法,进一步包括:在沉积所述杂质层之前,在所述半导体区域的顶面上实施预清洗以去除在所述半导体区域的顶面上形成的自然氧化物。
4.根据权利要求3所述的方法,进一步包括,在所述预清洗之前:
在半导体衬底中形成浅沟槽隔离(STI)区域;
去除所述半导体衬底的顶部以形成凹槽,其中,所述顶部位于所述STI区域的相对部分之间;以及
在所述凹槽中实施外延以再生长半导体材料,从而形成所述半导体区域。
5.根据权利要求4所述的方法,进一步包括:
使所述STI区域凹进,其中,所述半导体区域位于凹进的所述STI区域之间的部分形成半导体鳍,并且所述栅极堆叠件形成在所述半导体鳍的顶面和侧壁上。
6.根据权利要求1所述的方法,其中,沉积所述杂质层包括将工艺气体引入腔室内,包含所述半导体区域的晶圆设置在所述腔室中,并且在沉积所述杂质层的过程中,没有等离子体产生。
7.根据权利要求1所述的方法,其中,沉积所述杂质层包括将工艺气体引入腔室内,包含所述半导体区域的晶圆设置在所述腔室中,并且在沉积所述杂质层的过程中,由所述工艺气体产生等离子体。
8.一种方法,包括:
在生产工具中,将杂质层形成在半导体晶圆的半导体区域上方,其中,所述晶圆包括位于所述半导体区域上方的栅极堆叠件;
在所述生产工具中,将金属层沉积在所述杂质层上方并与所述杂质层接触,其中,在从开始形成所述杂质层至完成沉积所述金属层的时间段内,所述生产工具保持真空;以及
实施退火,其中,所述杂质层中的元素扩散进所述半导体区域的一部分内以形成源极/漏极区域,并且所述金属层与所述半导体区域的所述一部分的表面层反应以在所述源极/漏极区域上方形成源极/漏极硅化物区域。
9.根据权利要求8所述的方法,其中,当实施所述退火时,没有额外的保护层位于所述金属层上方。
10.一种方法,包括:
在半导体区域上方形成栅极堆叠件;
对所述半导体区域的表面实施预清洗;
将杂质层沉积在所述半导体区域的所述表面上并与所述半导体区域的所述表面物理接触,其中,所述杂质层包括配置以将所述半导体区域转变为p型或者n型的杂质;
将金属层沉积在所述杂质层上并与所述杂质层接触;以及
实施退火,其中,所述杂质层中的元素扩散进所述半导体区域的一部分内以在所述半导体区域中形成源极/漏极区域,并且所述金属层与所述半导体区域的所述一部分的表面层反应以在所述源极/漏极区域上方形成源极/漏极硅化物区域,并且在所述退火过程中,没有额外的保护层形成在所述金属层上方。
CN201310662452.1A 2013-08-09 2013-12-09 集成结和接触件的形成以形成晶体管 Active CN104347423B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/963,911 US10157995B2 (en) 2013-08-09 2013-08-09 Integrating junction formation of transistors with contact formation
US13/963,911 2013-08-09

Publications (2)

Publication Number Publication Date
CN104347423A true CN104347423A (zh) 2015-02-11
CN104347423B CN104347423B (zh) 2017-09-08

Family

ID=52449003

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310662452.1A Active CN104347423B (zh) 2013-08-09 2013-12-09 集成结和接触件的形成以形成晶体管

Country Status (2)

Country Link
US (1) US10157995B2 (zh)
CN (1) CN104347423B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106611704A (zh) * 2015-10-26 2017-05-03 北京大学 一种超薄硅化物的制备方法
TWI786329B (zh) * 2018-09-18 2022-12-11 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9484244B2 (en) * 2014-04-11 2016-11-01 Taiwan Semiconductor Manufacturing Company Limited Structures and methods for forming fin structures
US9647091B2 (en) * 2015-05-01 2017-05-09 International Business Machines Corporation Annealed metal source drain overlapping the gate
US9520394B1 (en) 2015-05-21 2016-12-13 International Business Machines Corporation Contact structure and extension formation for III-V nFET
US9466693B1 (en) * 2015-11-17 2016-10-11 International Business Machines Corporation Self aligned replacement metal source/drain finFET
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
US10879354B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10354922B1 (en) * 2017-12-27 2019-07-16 International Business Machines Corporation Simplified block patterning with wet strippable hardmask for high-energy implantation
KR102481414B1 (ko) * 2018-07-05 2022-12-23 어플라이드 머티어리얼스, 인코포레이티드 실리사이드 막 핵생성
US11908863B2 (en) * 2018-12-31 2024-02-20 Unist(Ulsan National Institute Of Science And Technology) Transistor element, ternary inverter apparatus comprising same, and method for producing same
JP7266105B2 (ja) 2019-02-08 2023-04-27 アプライド マテリアルズ インコーポレイテッド 半導体デバイス、半導体デバイスの製造方法、および処理システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6426291B1 (en) * 2000-08-31 2002-07-30 Micron Technology, Inc. Method of co-deposition to form ultra-shallow junctions in MOS devices using electroless or electrodeposition
US8101518B2 (en) * 2004-09-07 2012-01-24 International Business Machines Corporation Method and process for forming a self-aligned silicide contact
US20130001659A1 (en) * 2011-06-30 2013-01-03 International Business Machines Corporation Self-aligned iii-v mosfet diffusion regions and silicide-like alloy contact
CN103165437A (zh) * 2011-12-12 2013-06-19 无锡华润上华科技有限公司 一种栅氧刻蚀方法和多栅极制作方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3907616A (en) * 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
US4359490A (en) * 1981-07-13 1982-11-16 Fairchild Camera & Instrument Corp. Method for LPCVD co-deposition of metal and silicon to form metal silicide
US4647361A (en) * 1985-09-03 1987-03-03 International Business Machines Corporation Sputtering apparatus
US5025751A (en) * 1988-02-08 1991-06-25 Hitachi, Ltd. Solid film growth apparatus
US5217924A (en) * 1989-05-12 1993-06-08 Texas Instruments Incorporated Method for forming shallow junctions with a low resistivity silicide layer
US5081518A (en) * 1989-05-24 1992-01-14 National Semiconductor Corporation Use of a polysilicon layer for local interconnect in a CMOS or BICMOS technology incorporating sidewall spacers
US5047367A (en) * 1990-06-08 1991-09-10 Intel Corporation Process for formation of a self aligned titanium nitride/cobalt silicide bilayer
JP2677168B2 (ja) * 1993-09-17 1997-11-17 日本電気株式会社 半導体装置の製造方法
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5780350A (en) * 1997-01-30 1998-07-14 Lsi Logic Corporation MOSFET device with improved LDD region and method of making same
US6268068B1 (en) * 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
US6153455A (en) * 1998-10-13 2000-11-28 Advanced Micro Devices Method of fabricating ultra shallow junction CMOS transistors with nitride disposable spacer
US6096599A (en) * 1998-11-06 2000-08-01 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped film into and through a silicide during silicidation
US6294797B1 (en) * 1999-04-30 2001-09-25 Texas Instruments - Acer Incorporated MOSFET with an elevated source/drain
US20020102805A1 (en) * 2001-01-26 2002-08-01 Huang-Chung Cheng Method for forming shallow junction
US6451701B1 (en) * 2001-11-14 2002-09-17 Taiwan Semiconductor Manufacturing Company Method for making low-resistance silicide contacts between closely spaced electrically conducting lines for field effect transistors
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
JP4922753B2 (ja) * 2003-03-20 2012-04-25 パナソニック株式会社 半導体装置およびその製造方法
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US9455348B2 (en) * 2007-02-01 2016-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET for device characterization
US7667271B2 (en) * 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
JP5465958B2 (ja) * 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8278179B2 (en) * 2010-03-09 2012-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. LDD epitaxy for FinFETs
US8962400B2 (en) * 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US20140065819A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US20140070358A1 (en) * 2012-09-12 2014-03-13 Globalfoundries Inc. Method of tailoring silicon trench profile for super steep retrograde well field effect transistor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6426291B1 (en) * 2000-08-31 2002-07-30 Micron Technology, Inc. Method of co-deposition to form ultra-shallow junctions in MOS devices using electroless or electrodeposition
US8101518B2 (en) * 2004-09-07 2012-01-24 International Business Machines Corporation Method and process for forming a self-aligned silicide contact
US20130001659A1 (en) * 2011-06-30 2013-01-03 International Business Machines Corporation Self-aligned iii-v mosfet diffusion regions and silicide-like alloy contact
CN103165437A (zh) * 2011-12-12 2013-06-19 无锡华润上华科技有限公司 一种栅氧刻蚀方法和多栅极制作方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106611704A (zh) * 2015-10-26 2017-05-03 北京大学 一种超薄硅化物的制备方法
TWI786329B (zh) * 2018-09-18 2022-12-11 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TWI798148B (zh) * 2018-09-18 2023-04-01 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統
TWI815776B (zh) * 2018-09-18 2023-09-11 美商應用材料股份有限公司 電腦可讀媒體、處理多個基板的方法及用於處理多個基板的系統

Also Published As

Publication number Publication date
US10157995B2 (en) 2018-12-18
US20150044842A1 (en) 2015-02-12
CN104347423B (zh) 2017-09-08

Similar Documents

Publication Publication Date Title
CN104347423A (zh) 集成结和接触件的形成以形成晶体管
US10510872B2 (en) FinFETs and methods for forming the same
KR102030725B1 (ko) 반도체 디바이스 및 방법
US11211477B2 (en) FinFETs having epitaxial capping layer on fin and methods for forming the same
CN102074461B (zh) 半导体装置及其制造方法
US20140191301A1 (en) Transistor and fabrication method
US7157358B2 (en) Method for using a wet etch to manufacturing a semiconductor device having a silicided gate electrode and a method for manufacturing an integrated circuit including the same
US10325989B2 (en) Semiconductor device with silicide
US11417646B2 (en) NPN heterojunction bipolar transistor in CMOS flow
US20120104470A1 (en) Replacement gate mosfet with raised source and drain
CN104916542A (zh) 半导体器件的结构及其制造方法
CN109427590B (zh) 鳍式场效晶体管装置的形成方法
US9490332B1 (en) Atomic layer doping and spacer engineering for reduced external resistance in finFETs
US20110104864A1 (en) Method of fabricating semiconductor device
CN104241250A (zh) 用于形成接触件的掺杂保护层
KR100416627B1 (ko) 반도체 장치 및 그의 제조방법
KR20210094134A (ko) 접촉 저항이 감소된 반도체 디바이스를 제작하는 방법
US7396716B2 (en) Method to obtain fully silicided poly gate
CN110875392A (zh) FinFET器件及其形成方法
CN110634866B (zh) 一种cmos晶体管、cmos晶体管的制备方法及电子设备
CN106910715B (zh) 一种半导体器件及其制造方法
US11646238B2 (en) Dual crystal orientation for semiconductor devices
CN115527944A (zh) 制造半导体元件的方法
JP2019192913A (ja) 高アスペクト比構造におけるiii−v族材料の除去方法
CN104465377A (zh) Pmos晶体管及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant