KR20210081436A - 텅스텐을 위한 몰리브덴 템플릿들 - Google Patents

텅스텐을 위한 몰리브덴 템플릿들 Download PDF

Info

Publication number
KR20210081436A
KR20210081436A KR1020217018803A KR20217018803A KR20210081436A KR 20210081436 A KR20210081436 A KR 20210081436A KR 1020217018803 A KR1020217018803 A KR 1020217018803A KR 20217018803 A KR20217018803 A KR 20217018803A KR 20210081436 A KR20210081436 A KR 20210081436A
Authority
KR
South Korea
Prior art keywords
layer
tungsten
molybdenum
template
depositing
Prior art date
Application number
KR1020217018803A
Other languages
English (en)
Inventor
패트릭 에이. 반 클림풋
슈루티 비베크 톰바레
마이클 다넥
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020227031314A priority Critical patent/KR20220129105A/ko
Publication of KR20210081436A publication Critical patent/KR20210081436A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • H01L27/11524
    • H01L27/11551
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Manufacture And Refinement Of Metals (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

로직 및 메모리 애플리케이션들을 위한 저 저항 금속화 스택 구조들 및 관련된 제조 방법들이 본 명세서에 제공된다. 방법들은 큰 입자 크기를 갖는 박형 저 저항률 전이 금속 층들 상에 벌크 전도성 막들을 형성하는 단계를 수반한다. 벌크 전도성 막들은 저 저항률 전이 금속 막들의 입자들을 따르고, 큰 입자 크기를 발생시킨다. 또한 템플릿 층들 및 벌크 막들을 포함하는 디바이스들이 제공된다.

Description

텅스텐을 위한 몰리브덴 템플릿들
CVD (chemical vapor deposition) 기법들을 사용한 텅스텐 (W) 막 증착은 반도체 제조 프로세스들의 필수적인 부분이다. 예를 들어, 텅스텐 막들은 수평 상호 접속부들, 인접한 금속 층들 사이의 비아들, 및 제 1 금속 층과 실리콘 기판 상의 디바이스들 사이의 콘택트들의 형태의 저 저항률 전기적 연결부들로서 사용될 수도 있다. 텅스텐 막들은 또한 DRAM (dynamic random access memory) 을 위한 bWL (buried wordline) 아키텍처들의 형성, 3D NAND를 위한 워드라인들, 및 로직 애플리케이션들을 포함하는 다양한 메모리 애플리케이션들에서 사용될 수도 있다. 그러나, 피처 사이즈 및 막 두께의 계속된 감소는 보다 박형의 막들에 대한 고 저항률을 포함하는 다양한 과제들을 야기한다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청서는 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청서에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
저 저항률 벌크 전도체들을 형성하는 방법들이 본 명세서에 제공된다. 방법들은 큰 입자 크기를 갖는 박형 저 저항률 전이 금속 층들 상에 벌크 전도성 막들을 형성하는 단계를 수반한다. 벌크 전도성 막들은 저 저항률 전이 금속 막들의 입자들을 따르고, 큰 입자 크기를 발생시킨다. 또한 템플릿 층들 및 벌크 막들을 포함하는 디바이스들이 제공된다.
본 개시의 일 양태는 기판 상의 피처 내에 몰리브덴 (Mo)-함유 층을 제공하는 단계; 및 피처를 충진하도록 Mo-함유 층 상에 텅스텐 (W)-함유 층을 증착하는 단계를 수반하는 방법으로 구현될 수도 있다. 일부 실시 예들에서, 방법은 W-함유 층을 증착하기 전에 Mo-함유 층을 어닐링하는 단계를 더 포함한다. Mo-함유 층은 W 입자 성장을 위한 템플릿일 수도 있다. 일부 실시 예들에서, Mo-함유 층은 1 (atomic) % 미만의 불순물들을 갖는 원소 Mo이다. Mo-함유 층은 예를 들어 1 내지 10 ㎚ 또는 1 내지 5 ㎚ 두께와 같이 상대적으로 박형일 수도 있다. W-함유 층은 Mo-함유 층보다 적어도 5, 10, 또는 20 배 더 두꺼울 수도 있다. 일부 실시 예들에서, Mo-함유 층은 실리콘 옥사이드 또는 알루미늄 옥사이드 층과 같은 유전체 층 위에 놓인다. 일부 실시 예들에서, Mo-함유 층은 티타늄 나이트라이드 층과 같은 배리어 층 위에 놓인다. 일부 실시 예들에서, Mo-함유 층은 불소 불순물들이 없다.
일부 실시 예들에서, Mo-함유 층의 평균 결정자 크기는 적어도 20 ㎚이다. 일부 실시 예들에서, W-함유 층의 평균 결정자는 적어도 20 ㎚이다.
방법은 Mo-함유 층을 증착하는 단계를 더 수반할 수도 있다. 일부 실시 예들에서, Mo-함유 층은 하나 이상의 몰리브덴 클로라이드 전구체들로부터 증착된다. 이들은 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4) 를 포함한다. 일부 실시 예들에서, Mo-함유 층을 증착하는 단계는 몰리브덴 클로라이드 전구체가 수소에 의해 환원되는 원자 층 증착 프로세스를 수행하는 것을 포함한다.
일부 실시 예들에서, W-함유 층은 텅스텐 헥사플루오라이드를 사용하여 증착된다. W-함유 층은 핵생성 층을 증착하지 않고 증착될 수도 있다. 일부 실시 예들에서, W-함유 층은 ALD (atomic layer deposition) 에 의해 증착된다. 일부 실시 예들에서, 텅스텐-함유 막은 CVD (chemical vapor deposition) 에 의해 증착된다.
본 개시의 또 다른 양태는 기판 상에 전도성 템플릿 층을 형성하는 단계―템플릿 층은 1 내지 5 ㎚―; 전도성 템플릿 층을 갖는 입자 크기를 증가시키도록 전도성 템플릿 층을 어닐링하는 단계; 및 템플릿 층 상에 벌크 전도성 층을 형성하는 단계를 포함하는 방법으로 구현될 수도 있고, 벌크 전도성 층의 입자들은 전도성 템플릿 층의 입자들을 따른다. 일부 실시 예들에서, 전도성 템플릿 층은 몰리브덴이다. 벌크 전도성 층은 텅스텐, 코발트, 루테늄, 니켈, 및 텅스텐, 코발트, 루테늄, 니켈 중 적어도 하나를 함유하는 합금들 중 하나로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시 예들에서, 전도성 템플릿 층은 피처를 라이닝하도록 증착되고 벌크 전도성 층은 벌크 전도성 재료로 피처를 충진하도록 증착된다.
본 개시의 또 다른 양태는 갭들에 의해 분리된 복수의 옥사이드 층들을 갖는 부분적으로 제조된 3-D NAND 구조를 제공하는 단계; 및 갭들 내에 몰리브덴 템플릿 층을 컨포멀하게 (conformally) 증착하는 단계를 포함하고, 몰리브덴 템플릿 층은 약 1 내지 10 ㎚의 두께이다. 일부 실시 예들에서, 몰리브덴 템플릿 층은 옥사이드 표면 상에 바로 증착된다. 일부 실시 예들에서, 몰리브덴 템플릿 층은 1 내지 5 ㎚ 두께이다. 방법은 텅스텐으로 갭들을 충진하는 단계를 더 포함할 수도 있다.
본 개시의 또 다른 양태는 부분적으로 제조된 반도체 기판의 3-D 구조체를 텅스텐으로 충진하는 방법으로 구현될 수도 있고, 3-D 구조체는 측벽들을 포함하고, 측벽들 내의 복수의 개구부들은 개구부들을 통해 유체적으로 액세스 가능한 복수의 내부 영역들을 갖는 복수의 피처들로 이어지고, 방법은 제 1 층이 3-D 구조체의 복수의 피처들을 컨포멀하게 라이닝하도록 3-D 구조체 내에 제 1 몰리브덴 층을 증착하는 단계; 및 피처를 텅스텐으로 충진하도록 Mo-함유 층 상에 텅스텐 (W) 을 증착하는 단계를 수반한다.
본 개시의 또 다른 양태는 각각 기판을 하우징하도록 구성된 하나 이상의 챔버들; 하나 이상의 챔버들 각각의 지지 기판; 가스를 하나 이상의 챔버들 각각으로 지향시키도록 구성된 가스 유입구들; 챔버 각각에서 기판 지지부를 가열하도록 구성된 히터; 및 제어기를 포함하는 장치에서 구현될 수도 있고, 제어기는 몰리브덴 전구체를 하나 이상의 챔버들 내로 유입시키기 위한 프로그램 인스트럭션; 및 몰리브덴 전구체를 유입시킨 후, 텅스텐 전구체를 하나 이상의 챔버들 내로 유입시키기 위한 프로그램 인스트럭션들을 포함한다.
본 개시의 또 다른 양태는 옥사이드 층들에 의해 분리된 복수의 텅스텐 워드라인들; 및 텅스텐-옥사이드 계면에서 몰리브덴 박막을 포함하는 3-D NAND 구조로 구현될 수도 있다. 일부 실시 예들에서, 몰리브덴 박막은 1 내지 5 ㎚ 두께이다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 기술된다.
도 1a 및 도 1b는 다양한 실시 예들에 따른 몰리브덴 (Mo) 템플릿들 및 텅스텐 (W) 전도체들을 포함하는 재료 스택들의 개략적인 예들이다.
도 2는 Mo 템플릿 상의 W bWL (buried wordline) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다.
도 3a는 3D NAND 구조의 W 워드라인의 개략적인 예를 도시한다.
도 3b는 Mo 템플릿 층을 포함하는 W 워드라인의 재료 스택을 도시한다.
도 4는 전도성 재료를 증착하는 방법의 동작들을 예시하는 프로세스 흐름도이다.
도 5는 텅스텐으로 피처를 충진하는 방법의 동작들을 예시하는 프로세스 흐름도이다.
도 6은 몰리브덴 템플릿 상에 증착된 텅스텐 막의 이미지를 도시한다.
도 7은 800 ℃에서 어닐링 후 다양한 두께들의 Mo 막들에 대한 저항률의 감소를 도시하는 그래프이다.
도 8은 본 명세서에 기술된 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시되었다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
로직 및 메모리 애플리케이션들을 위한 저 저항 금속화 스택 구조들이 본 명세서에 제공된다. 도 1a 및 도 1b는 텅스텐 성장을 위한 템플릿으로서 몰리브덴 (Mo) 을 포함하는 재료 스택들의 개략적인 예들이다. 도 1a 및 도 1b는 특정한 스택의 재료들의 순서를 예시하고 도 2, 도 3a 및 도 3b에 대해 이하에 더 기술된 바와 같이, 임의의 적절한 아키텍처 및 애플리케이션과 함께 사용될 수도 있다. 도 1a의 예에서, 기판 (102) 은 상부에 Mo 층 (108) 이 증착된다. 기판 (102) 은 유전체, 도전 또는 반도전 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 또는 다른 반도체 웨이퍼, 예를 들어, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 또는 450-㎜ 웨이퍼일 수도 있다. 방법들은 또한 유리, 플라스틱, 등과 같은 다른 기판들 상에 금속화 스택 구조체들을 형성하도록 적용될 수도 있다.
도 1a에서, 유전체 층 (104) 은 기판 (102) 상에 있다. 유전체 층 (104) 은 기판 (102) 의 반도체 (예를 들어, Si) 표면 상에 바로 증착될 수도 있고, 또는 임의의 수의 개재 층들이 있을 수도 있다. 유전체 층들의 예들은 도핑되거나 도핑되지 않은 실리콘 옥사이드 층, 실리콘 나이트라이드 층, 및 알루미늄 옥사이드 층들을 포함하고, 구체적인 예들은 도핑되거나 도핑되지 않은 층들 SiO2 및 Al2O3을 포함한다. 또한, 도 1a에서, 확산 배리어 층 (106) 이 Mo 층 (108) 과 유전체 층 (104) 사이에 배치된다. 티타늄 나이트라이드 (TiN), 티타늄/티타늄 나이트라이드 (Ti/TiN), 텅스텐 나이트라이드 (WN), 및 텅스텐 탄소 나이트라이드 (WCN) 를 포함하는 확산 배리어 층들의 예들. 추가의 예들은 이하에 더 기술된 바와 같이 다중-성분 Mo-함유 막들이다. 텅스텐 (W) 층 (110) 이 Mo 층 (108) 상에 증착되고 구조체의 주 전도체이다. 이하에 더 논의된 바와 같이, Mo 층 (108) 은 텅스텐 성장을 위한 템플릿을 제공한다. 그 결과, 일부 실시 예들에서, W 층 (110) 은 텅스텐 핵생성 층 없이 증착된다.
도 1b는 재료 스택의 또 다른 예를 도시한다. 이 예에서, 스택은 개재되는 확산 배리어 층 없이, 유전체 층 (104) 상에 바로 증착된 Mo 층 (108) 과 함께 기판 (102), 유전체 층 (104) 을 포함한다. 도 1a의 예에서와 같이, W 층 (110) 이 Mo 층 (108) 상에 증착되고 구조체의 주 전도체이다. 텅스텐 성장을 위한 템플릿으로서 큰 입자들을 갖는 몰리브덴을 사용함으로써, 큰 입자들 및 저 저항률을 갖는 텅스텐이 형성될 수 있다. 또한, 저항률은 보다 높은 저항률의 텅스텐 핵생성 층을 제거함으로써 개선될 수 있다.
도 1a 및 도 1b는 금속화 스택들의 예들을 도시하지만, 방법들 및 발생되는 스택들은 그렇게 제한되지 않는다. 예를 들어, 일부 실시 예들에서, Mo는 텅스텐 성장을 위한 템플릿으로서 Si 또는 다른 반도체 기판 상에 바로 증착될 수도 있다.
또한, Mo 템플릿들 상의 W 성장이 상기 예들에서 기술되었지만, Mo 층은 몰리브덴 (Mo), 코발트 (Co), 루테늄 (Ru), 니켈 (Ni) 및 MoW와 같은 이들 금속들을 포함하는 합금들을 포함하는 다른 금속들의 저 저항률 성장을 위한 템플릿으로서 기능할 수도 있다.
상기 및 이하에 더 기술된 재료 스택들은 다양한 실시 예들에서 채용될 수도 있다. 도 2, 도 3a 및 도 3b는 스택들이 채용될 수도 있는 구조체들의 예들을 제공한다. 도 2는 실리콘 기판 (202) 내에 W 매립 워드라인 (buried wordline; bWL) (210) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다. W bWL (210) 은 실리콘 기판 (202) 의 에칭된 트렌치 내에 형성된다. 트렌치를 라이닝하는 것은 컨포멀한 (conformal) Mo 층 (208) 및 컨포멀한 배리어 층 (206) 과 실리콘 기판 (202) 사이에 배치되는 절연 층 (204) 이다. 도 2의 예에서, 절연 층 (204) 은 실리콘 옥사이드 또는 실리콘 나이트라이드 재료와 같은 하이-k (high-k) 유전체 재료로 형성된 게이트 옥사이드 층일 수도 있다. 일부 실시 예들에서, TiN 또는 텅스텐-함유 층과 같은 컨포멀한 배리어 층이 Mo 층 (208) 과 절연 층 (204) 사이에 개재될 수도 있다.
도 3a는 3D NAND 구조 (323) 의 개략적인 예시적인 W 워드라인들 (310) 을 도시한다. W 워드라인들 (310) 은 옥사이드 층들 (311) 에 의해 분리된다. 도 3b에서, 알루미늄 옥사이드 (Al2O3) 층 (304) 과 Mo 층 (308) 을 포함하는 W 워드라인 (310) 과 옥사이드 층 (311) 사이의 계면의 상세가 도시된다. 상기 기술된 바와 같이, W 워드라인들 (310) 은 텅스텐 핵생성 층 없이 Mo 층들 (308) 상에 증착될 수도 있다. 일부 실시 예들에서, Mo 층 (308) 은 본 명세서에 기술된 바와 같이 옥사이드 층 (311) 상에 또는 TiN 또는 다른 배리어 층 상에 바로 증착될 수도 있다. Mo 층들은 예를 들어, 약 10 ㎚ 내지 100 ㎚의 W 워드라인 층의 증착을 위해 약 10 Å 내지 100 Å, 또는 10 Å 내지 50 Å일 수도 있다.
도 4는 전도성 재료를 증착하는 방법의 동작들을 예시하는 프로세스 흐름도이다. 동작 402에서, 템플릿 층이 형성된다. 이하에 더 기술된 바와 같이, 이는 CVD (chemical vapor deposition) 및 ALD (atomic layer deposition) 증착과 같은 기상 증착 기법들을 수반할 수 있다. 템플릿 층은 몰리브덴과 같이 상대적으로 큰 입자 성장을 갖는 재료이다. 이 층은 일부 실시 예들에서 상대적으로 박형이고, 10 ㎚ 이하 또는 50 ㎚ 이하일 수도 있다. 일반적으로, 층은 아래에 놓인 구조체 상에서 연속적인 성장을 위해 충분히 두껍다. 예시적인 두께들은 1 ㎚ 내지 5 ㎚, 또는 2 ㎚ 내지 5 ㎚의 범위이다. 템플릿 층은 도 2 및 도 3b의 예들에서와 같이 하부 구조를 따를 (conform) 수도 있다. 3D NAND 구조들과 같은 어려운 구조체들에 대해, ALD는 컨포멀한 층을 형성하도록 사용될 수도 있다. 템플릿 층이 형성될 수도 있는 예시적인 표면들은 유전체 및 배리어 층 표면들을 포함한다. 특정한 실시 예들에서, 템플릿 층은 비-불소-함유 전구체로부터 증착될 수도 있다. 이는 불소의 하부 구조체로 마이그레이팅 (migrating) 을 방지할 수 있다.
일부 실시 예들에서, 템플릿 층은 동작 404에서 어닐링된다. 층의 열적 어닐링은 입자 크기를 증가시키고 저항률을 낮출 수 있다. 몰리브덴에 대한 어닐링 온도들의 예들은 700 ℃ 내지 1100 ℃ 범위이다. 일반적으로, 어닐링은 용융 온도 또는 그 근방의 온도에서 수행된다. 어닐링은 퍼니스 (furnace) 에서 또는 급속 열적 어닐링에 의해 수행될 수도 있다. 다양한 실시 예들에 따라, 이는 수소 (H2) 분위기, 질소 (N2) 분위기, 또는 진공을 포함하는 임의의 적절한 분위기에서 수행될 수도 있다. 일부 실시 예들에서, 막은 임의의 옥사이드 형성을 제거하기 위해 어닐링 전에 환원 분위기에 노출될 수도 있다. 특히 템플릿이 어닐링 전에 공기에 노출된다면 옥사이드들이 형성될 수도 있다. 동작 406에서, 벌크 층이 템플릿 층 상에 형성된다. 템플릿 상에 증착된 결과로서 입자 크기는 보다 크다. 벌크 층은 일반적으로 구조체의 주 전도체이다. 이를 템플릿 상에 증착함으로써, 텅스텐 헥사플루오라이드 (WF6) 또는 몰리브덴 헥사플루오라이드 (MoF6) 와 같은 보다 저렴하고 그리고/또는 용이하게 입수 가능한 전구체들이 사용될 수도 있다. 구조에 따라, ALD 또는 CVD 방법들이 사용될 수도 있다. 일 예에서, WF6 및 H2이 텅스텐을 증착하기 위해 사용된다. 다른 벌크 막들의 증착은 이하에 더 기술된다.
Mo 템플릿 층들을 형성하는 방법들은 (CVD 및 ALD 증착과 같은) 기상 증착 기법들을 포함한다. ALD 기법에서, 환원제 (또는 다른 공-반응물질), 선택가능한 퍼지 가스들, 및 Mo-함유 전구체의 펄스들이 반응 챔버 내로 순차적으로 주입되고 반응 챔버로부터 퍼지된다. Mo 층의 증착은 환원제 및 Mo 함유 전구체가 피처 내에 Mo 층을 증착하기 위해 증착 챔버 내로 흐르는 CVD 프로세스에 의해 대안적으로 발생할 수 있다. 불활성 캐리어 가스가 사전-혼합될 수도 있고 사전-혼합되지 않을 수도 있는, 하나 이상의 반응물질 스트림들을 전달하도록 사용될 수도 있다. ALD 프로세스들과 달리, 이 동작은 일반적으로 목표된 양이 증착될 때까지 연속적으로 반응물질들을 흘리는 동작을 수반한다. 특정한 구현 예들에서, CVD 동작은, 방향 전환된 하나 이상의 반응물질 플로우들의 기간들에 의해 분리된 반응물질들의 연속적 플로우 및 동시 플로우의 복수의 기간들을 갖는, 복수의 스테이지들로 발생할 수도 있다.
Mo-함유 전구체들은 몰리브덴 헥사플루오라이드 (MoF6), 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 을 포함한다. 몰리브덴 실릴사이클로펜타디에닐 및 몰리브덴 실릴알릴 착체들과 같은 유기 금속 전구체들이 사용될 수도 있다. Mo-함유 전구체들은 안정한 분자를 형성할 수 있는 2 개 이상의 할로겐들을 갖는 혼합된 할라이드 전구체들뿐만 아니라 MoF6 및 MoCl5를 포함하는 할라이드 전구체들일 수도 있다. 혼합된 할라이드 전구체의 예는 안정한 분자를 형성할 수 있는 0보다 큰 임의의 수인 x 및 y를 갖는 MoClxBry이다.
특정한 실시 예들에서, Mo 층은 유전체 층 또는 TiN 또는 다른 배리어 층 상에 바로 증착된다. ALD 프로세스에서, 공-반응물질, 선택 가능한 퍼지 가스들, 및 Mo-함유 전구체의 펄스들이 반응 챔버 내로 순차적으로 주입되고 반응 챔버로부터 퍼지된다. 일부 실시 예들에서, 박형 Mo 층이 공-반응물질로서 붕소-함유 환원제 (예를 들어, B2H6), 실리콘-함유 환원제 (예를 들어, SiH4), 또는 수소 (H2) 중 하나 이상을 사용하여 증착된다. 예를 들어, 하나 이상의 S/Mo 사이클들이 텅스텐 증착을 위한 템플릿으로서 기능할 박형 Mo 층을 증착하도록 채용될 수도 있고, 여기서 S/Mo는 실란의 펄스에 이어 Mo-함유 전구체의 펄스를 지칭한다. 또 다른 예에서, 하나 이상의 B/Mo 사이클들이 그 위에 텅스텐 층이 증착될 박형 Mo 층을 증착하도록 채용될 수도 있고, 여기서 B/Mo는 디보란의 펄스에 이어 Mo-함유 전구체의 펄스를 지칭한다. B/Mo 및 S/Mo 사이클들은 모두 Mo 층, 예를 들어 x(B/Mo) + y(S/Mo) 를 증착하도록 사용될 수도 있고, x 및 y는 정수들이다. 또 다른 하나 이상의 H2/Mo 사이클들이 B/Mo 및/또는 S/Mo 사이클들을 사용하거나 사용하지 않고 박형 Mo 층을 증착하도록 사용될 수도 있다.
Mo 층의 두께 및 증착될 구조체에 따라, Mo 층을 증착하는 것은 Mo 핵생성 층의 증착에 이어 벌크 층에 의한 증착을 수반할 수 있다. 일부 실시 예들에서, 이는 핵생성 층의 ALD 증착에 이어 벌크 층의 CVD 증착을 수반할 수 있다.
일부 실시 예들에서, Mo 템플릿 층의 증착은 환원제 층을 형성하고 이어서 환원제 층을 Mo-함유 전구체에 노출시키는 것을 수반할 수 있다. 환원제 층은 원소 실리콘 (Si), 원소 붕소 (B), 원소 게르마늄 (Ge), 또는 이들의 혼합물들을 포함하거나 본질적으로 구성될 수도 있다. 예를 들어, 환원제 층은 Si 및 B를 포함할 수도 있다. B의 양은 환원제 층의 고 증착 레이트를 달성하도록 맞춤될 수도 있지만, 저 저항률을 갖는다.
Mo 증착 동안 기판 온도는 300 ℃ 내지 800 ℃일 수도 있다. 기판 온도는 열 예산 및 증착 화학물질에 종속될 것이다. 열 예산은 애플리케이션들에 종속되지만, 고 증착 온도는 메모리 애플리케이션들에 대해 문제가 되지 않을 수도 있지만, 로직 애플리케이션들에 대한 열 예산을 초과할 수 있다.
도 5는 피처 충진을 위한 프로세스의 예를 도시한다. 도 5의 프로세스는 예를 들어 텅스텐 워드라인 충진을 위해 사용될 수도 있다. 동작 502에서, Mo 템플릿은 염소-함유 Mo 전구체를 사용하여 ALD에 의해 증착된다. ALD 프로세스는 어려운 3D NAND 구조들에 대해 컨포멀성 및 단차 커버리지를 달성하도록 사용될 수도 있다. ALD 사이클들은 예를 들어, 유전체 또는 배리어 층 표면 상에 약 10 Å 내지 50 Å의 Mo 층을 증착하도록 사용될 수도 있다. 일부 실시 예들에서, ALD 사이클들은 막 내로 통합된 붕소 또는 실리콘을 갖지 않고 환원제로서 H2를 사용한다. 또한, ALD 사이클은 클로라이드-함유 전구체들을 사용한다. 이는 아래에 놓인 유전체 층이 불소에 노출되는 것을 방지한다. 염소 함유 Mo 전구체들에 대해, 상대적으로 고 증착 온도, 예를 들어 450 ℃ 내지 800 ℃ 그리고 일부 실시 예들에서, 적어도 500 ℃ 또는 550 ℃ 내지 650 ℃가 사용될 수도 있다. 이들 전구체들의 Mo-Cl 결합은 상대적으로 강하기 때문에, 고온은 증착을 용이하게 한다.
이어서, 동작 504에서, Mo 템플릿이 어닐링된다. 상기 기술된 바와 같이, 어닐링은 임의의 옥사이드를 제거하기 위한 환원 단계가 선행될 수도 있다. 이는 공기 또는 다른 산화제 노출의 결과로서 형성된 몰리브덴 다이옥사이드 (MoO2) 또는 몰리브덴 트리옥사이드 (MoO3) 를 제거할 수 있다. 특히 MoO3는 795 ℃의 융점을 갖고 제거되지 않으면 어닐링 동안 용융될 수 있다. 이어서 벌크 층이 동작 506에서 워드라인 또는 다른 전도체를 형성하도록 Mo 템플릿 상에 증착된다. 텅스텐 충진은 WF6와 같은 플루오르화된 전구체를 수반할 수 있고, Mo 층은 유전체로의 불소 마이그레이션에 대한 배리어를 제공한다. 3D NAND 구조들에 대해, 동작 506은 ALD 증착에서 WF6 및 H2의 교번하는 펄스들을 수반할 수도 있다. 증착은 일부 실시 예들에서 텅스텐 핵생성 층을 형성하지 않고 수행될 수도 있다. 텅스텐에 대한 예시적인 두께들은 50 Å 내지 300 Å 범위이다. W:Mo 두께의 비는 일부 실시 예들에 따라 1:1 내지 15:1, 예를 들어, 2:1 내지 10:1, 또는 2:1 내지 5:1일 수도 있다.
상기 기술된 바와 같이, 도 4를 참조하여 논의된 방법은 템플릿들 상에 다른 저 저항률 벌크 막들을 증착하도록 사용될 수도 있다. 이러한 막들은 코발트 (Co), 루테늄 (Ru), 및 니켈 (Ni) 을 포함할 수 있다. 코발트 전구체들의 예들은 디카르보닐 사이클로펜타디에닐 코발트, 코발트 카르보닐, 코발트 아미디네이트 전구체, 코발트 디아자디에닐 착체, 및 코발트 아미디네이트/구아니디네이트 전구체를 포함한다. 산화 반응들에 사용될 수도 있는 루테늄 전구체들의 예들은 (에틸벤질)(1-에틸-1,4-사이클로헥사디에닐)Ru(0) ((ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru(0)), (1-아이소프로필-4-메틸벤질)(1,3-사이클로헥사디에 닐)Ru(0) ((1-isopropyl-4-methylbenzyl)(1,3-cyclohexadienyl)Ru(0)), 2,3-디메틸-1,3-부타디에닐)Ru(0)트리카르보닐 (2,3-dimethyl-1,3-butadienyl)Ru(0)tricarbonyl), (1,3-사이클로헥사디에닐)Ru(0)트리카르보닐 ((1,3-cyclohexadienyl)Ru(0)tricarbonyl), 및 (사이클로펜타디에닐)(에틸)Ru(II)디카르보닐 ((cyclopentadienyl)(ethyl)Ru(II)dicarbonyl) 을 포함한다. 비 산화 반응물질들과 반응하는 루테늄 전구체들의 예들은 비스(5-메틸-2,4-헥산디케토나토)Ru(II)디카르보닐 (bis(5-methyl-2,4-hexanediketonato)Ru(II)dicarbonyl) 및 비스(에틸사이클로펜타디에닐)Ru(II) (bis(ethylcyclopentadienyl)Ru(II)) 이다. 니켈 전구체들의 예들은 사이클로펜타디에닐알릴니켈 (CpAllylNi) 및 MeCp2Ni를 포함한다.
상기 기술에서, ALD는 벌크 텅스텐 또는 다른 벌크 재료를 증착하도록 사용될 수도 있다. 특히, ALD는 측방향 입자 성장을 갖는 텅스텐 또는 다른 금속을 증착하도록 사용될 수도 있다. 이러한 방식으로, 증착된 금속은 CVD 또는 스퍼터링과 같은 기법들을 사용하여 획득될 수 있는 것보다, 보다 큰 측방향 입자 성장을 포함하여, 훨씬 보다 큰 입자 성장을 갖는다. 일부 실시 예들에서, 적어도 100 Å 폭의 입자들이 성장된다. 측방향 그레인 성장을 제공할 수 있는 예시적인 W ALD 증착 조건들은 300 ℃ 내지 500 ℃ 기판 온도, 또는 500 ℃ 미만 및 10 torr 내지 50 torr 챔버 압력을 포함한다.
애플리케이션들의 예들은 3D NAND 워드라인 충진 및 DRAM bWL 충진을 포함한다. 이들 애플리케이션들에서, 몰리브덴의 단일 템플릿 층 (또는 다른 템플릿 층) 은 텅스텐 (또는 다른 주 전도체) 로 충진된 나머지 피처와 함께 사용될 수도 있다. Mo 다른 템플릿 층은 티타늄 나이트라이드 (TiN) 또는 실리콘 옥사이드 (예를 들어, SiO2), 알루미늄 옥사이드 (예를 들어, Al2O3), 하프늄 옥사이드 (예를 들어, HfO2), 및 지르코늄 옥사이드 (예를 들어, ZrO2) 와 같은 옥사이드와 같은 층들 상에 증착될 수도 있다.
실험
몰리브덴 막이 Al2O3 상에서 직접 성장되고, 어닐링 및 텅스텐 증착이 이어진다. 도 6은 Mo 막 상의 W 막의 이미지를 도시한다. 이 이미지는 W 입자들이 아래의 Mo 입자들을 템플릿화한다는 것을 보여준다.
일부 실시 예들에서, 열적 어닐링이 Mo 증착 후에 수행된다. 이는 Mo 입자 성장 및 보다 낮은 저항률을 허용할 수 있다. Mo의 융점이 W의 융점보다 낮기 때문에, 입자 성장 및 수반되는 저항률의 감소는 Mo 막들에 대해 보다 낮은 온도들에서 발생한다. 어닐링 온도들의 예들은 700 ℃ 내지 1100 ℃ 범위이다. 어닐링은 퍼니스 (furnace) 에서 또는 급속 열적 어닐링에 의해 수행될 수도 있다. 다양한 실시 예들에 따라, 이는 수소 (H2) 분위기, 질소 (N2) 분위기, 또는 진공을 포함하는 임의의 적절한 분위기에서 수행될 수도 있다.
다양한 실시 예들에 따라, Mo 막은 증착과 어닐링 사이에 공기에 노출될 수도 있고 노출되지 않을 수도 있다. 공기 또는 다른 산화 분위기에 노출된다면, 환원 분위기는 노출의 결과로서 형성된 몰리브덴 다이옥사이드 (MoO2) 또는 몰리브덴 트리옥사이드 (MoO3) 를 제거하기 위해 어닐링 동안 또는 어닐링 전에 채용될 수도 있다. 특히 MoO3는 795 ℃의 융점을 갖고 제거되지 않으면 어닐링 동안 용융될 수 있다.
이하의 표 1은 2 개의 W 막들 (A 및 B) 과 2 개의 Mo 막들 (C 및 D) 을 비교한다.
Figure pct00001
막 A는 WF6를 사용하여 증착된 텅스텐 막이다. 막 B는 WCl5 및 WCl6를 사용하여 증착된 텅스텐 막이다. 막 C는 MoCl5를 사용하여 증착된 몰리브덴 막이고 막 D는 MoOCl4를 사용하여 증착된 몰리브덴 막이다. 막 D는 후-증착 어닐링을 겪었다. 특히, 저항률은 막 A 및 B보다 막 C 및 D에 대해 보다 낮다. 저항률은 40 μΩ-㎝ (막 A) 에 대해 25 μΩ-㎝ (막 C) 및 17 μΩ-㎝ (막 D) 를 직접 비교하면, 두께에 따라 감소한다. O-함유 전구체로 증착된 막 D는 낮은 O를 나타낸다. 막 C 및 막 D의 응력은 막 A 및 막 B의 응력과 비슷하다.
도 7은 800 ℃에서 어닐링 후 WCN 상에 증착된 다양한 두께의 Mo 막들에 대한 저항률의 감소를 도시하는 그래프이다. WCN 상의 W 막의 저항률이 또한 비교를 위해 도시된다. 저항률의 상당한 감소가 관찰된다. 저항률의 감소는 입자 성장 때문이다. 이하의 표 2는 증착되고 어닐링 후 CVD Mo 막들 내의 Mo 입자들에 대한 상들 (phases) 및 평균 입자 크기를 보여준다.
Figure pct00002
H2 분위기에서 800 ℃에서 1 시간 5 분의 퍼니스 어닐링은 유사한 결과들을 보여준다.
장치
임의의 적합한 챔버가 개시된 실시 예들을 구현하도록 사용될 수도 있다. 예시적인 증착 장치들은 다양한 시스템들, 예를 들어, California, Fremont 소재의 Lam Research Corp.로부터 입수 가능한 ALTUS® 및 ALTUS® Max, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 프로세스는 복수의 증착 스테이션들 상에서 병렬로 수행될 수 있다.
일부 실시 예들에서, 몰리브덴 템플릿 증착 프로세스가 단일 증착 챔버 내에 위치된 2, 5 또는 훨씬 보다 많은 증착 스테이션들 중 하나인 제 1 스테이션에서 수행된다. 일부 실시 예들에서, 프로세스를 위한 다양한 단계들이 증착 챔버의 2 개의 상이한 스테이션들에서 수행된다. 예를 들어, 기판은 기판 표면에 국부 화된 분위기를 생성하는 개별 가스 공급 시스템을 사용하여 제 1 스테이션에서 H2에 노출될 수도 있고, 이어서 기판은 템플릿 층을 증착하기 위해 MoOCl5와 같은 전구체에 노출되도록 제 2 스테이션으로 이송될 수도 있다. 일부 실시 예들에서, 기판은 수소의 제 2 노출을 위해 제 1 스테이션으로 다시 이송될 수도 있다. 이어서 기판은 MoOCl5 (또는 다른 텅스텐 클로라이드) 에 대한 노출을 위해 제 2 스테이션으로 이송될 수도 있다. 이는 Mo 템플릿 증착을 완료하고 동일하거나 상이한 스테이션에서 텅스텐 증착을 진행하기 위해 필요에 따라 반복될 수도 있다. 이어서 하나 이상의 스테이션들이 상기 기술된 바와 같이 텅스텐 증착을 수행하도록 사용될 수 있다.
도 8은 본 명세서에 기술된 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (800) 은 이송 모듈 (803) 을 포함한다. 이송 모듈 (803) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린 (clean), 가압된 분위기를 제공한다. 본 명세서에 기술된 실시 예들에 따른 ALD 및 CVD 증착뿐만 아니라 PNL (pulsed nucleation layer) 증착으로 지칭될 수도 있는, 핵생성 층 증착을 수행할 수 있는 멀티-스테이션 반응기 (809) 가 이송 모듈 (803) 상에 장착된다. 챔버 (809) 는 이들 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (811, 813, 815, 및 817) 을 포함할 수도 있다. 예를 들어, 챔버 (809) 는 스테이션들 (811 및 813) 이 PNL 또는 ALD 증착을 수행하고, 스테이션들 (813 및 815) 은 CVD를 수행하도록 구성될 수 있다. 증착 스테이션 각각은 가열된 웨이퍼 페데스탈 및 샤워헤드, 분산 플레이트 또는 다른 가스 유입구를 포함할 수도 있다.
또한 이송 모듈 (803) 상에 플라즈마 또는 화학적 (비-플라즈마) 사전-세정을 수행할 수 있는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (807) 이 장착될 수도 있다. 모듈은 또한 다양한 다른 처리들, 예를 들어 환원제 소킹 (soaking) 을 위해 사용될 수도 있다. 시스템 (800) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상 (이 경우 2 개) 의 웨이퍼 소스 모듈들 (801) 을 포함한다. 대기 이송 챔버 (819) 의 대기 로봇 (미도시) 이 소스 모듈들 (801) 로부터 로드록들 (821) 로 웨이퍼들을 먼저 제거한다. 이송 모듈 (803) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드록들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들로 그리고 이들 사이에서 웨이퍼들을 이동시킨다.
특정한 실시 예들에서, 시스템 제어기 (829) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 사용된다면 RF (radio frequency) 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로, 제어기와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드코딩될 수도 있고, 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들 (Digital Signal Processors; DSPs), ASICs (Application-Specific Integrated Circuits), 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 대안적으로, 제어 로직은 제어기에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다.
프로세스 시퀀스에서 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 것들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있고, 레시피의 형태로 사용자들에게 제공된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브 루틴들 또는 제어 객체들이 상기 기술된 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 가열기 제어 코드, 및 플라즈마 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (829) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (829) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (829) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (829) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (829) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 기판과 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램이 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들 (manometers) 과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 써모커플들 (thermocouples) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시 예들의 구현 예를 기술한다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴의 개시된 실시 예들의 구현 예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들을 사용하여 제공되는 이하의 단계들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
상기 기술 및 청구항들에서, 수치적 범위들은 범위의 종점들을 포함한다. 예를 들어, "1 내지 5 ㎚의 두께"는 1 ㎚ 및 5 ㎚를 포함한다. 유사하게, 대시로 나타낸 범위는 범위의 종점들을 포함한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 이 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.

Claims (27)

  1. 기판 상의 피처 내에 몰리브덴 (Mo)-함유 층을 증착하는 단계; 및
    상기 피처를 텅스텐으로 충진하도록 상기 Mo-함유 층 상에 텅스텐 (W) 을 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    텅스텐을 증착하기 전에 상기 Mo-함유 층을 열적으로 어닐링하는 단계를 더 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 Mo-함유 층은 W 입자 성장을 위한 템플릿인, 방법.
  4. 제 1 항에 있어서,
    상기 Mo-함유 층은 1 (atomic) % 미만의 불순물들을 갖는 원소 Mo인, 방법.
  5. 제 1 항에 있어서,
    상기 Mo-함유 층은 1 내지 10 ㎚ 두께인, 방법.
  6. 제 1 항에 있어서,
    상기 Mo-함유 층은 유전체 층 위에 놓이는, 방법.
  7. 제 1 항에 있어서,
    상기 Mo-함유 층은 불소 불순물들이 없는, 방법.
  8. 제 1 항에 있어서,
    상기 Mo-함유 층을 증착하는 단계를 더 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 Mo-함유 층은 하나 이상의 염화 몰리브덴 전구체들로부터 증착되는, 방법.
  10. 제 9 항에 있어서,
    상기 하나 이상의 몰리브덴 클로라이드 전구체들은 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 디옥사이드 (MoO2Cl2), 및 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4) 로부터 선택되는, 방법.
  11. 제 8 항에 있어서,
    상기 Mo-함유 층을 증착하는 단계는 몰리브덴 클로라이드 전구체가 수소에 의해 환원되는 원자 층 증착 프로세스를 수행하는 단계를 포함하는, 방법.
  12. 제 1 항에 있어서,
    상기 텅스텐은 텅스텐 헥사플루오라이드를 사용하여 증착되는, 방법.
  13. 제 1 항에 있어서,
    상기 Mo-함유 층의 평균 결정자 크기는 적어도 20 ㎚인, 방법.
  14. 제 1 항에 있어서,
    상기 텅스텐의 평균 결정자는 적어도 20 ㎚인, 방법.
  15. 제 1 항에 있어서,
    상기 텅스텐은 핵생성 층을 증착하지 않고 증착되는, 방법.
  16. 제 1 항에 있어서,
    상기 텅스텐은 원자 층 증착 (ALD) 에 의해 증착되는, 방법.
  17. 부분적으로 제조된 반도체 기판의 3D 구조체를 텅스텐으로 충진하는 방법에 있어서, 상기 3D 구조체는 측벽들, 개구부들을 통해 유체적으로 액세스가능한 복수의 내부 영역들을 갖는 복수의 피처들로 이어지는 상기 측벽들 내의 상기 복수의 개구부들을 포함하고, 상기 방법은,
    제 1 몰리브덴 층이 상기 3-D 구조체의 상기 복수의 피처들을 컨포멀하게 (conformally) 라이닝하도록 상기 3-D 구조체 내에 상기 제 1 몰리브덴 층을 증착하는 단계; 및 상기 피처를 텅스텐으로 충진하도록 상기 Mo-함유 층 상에 텅스텐 (W) 을 증착하는 단계를 포함하는, 방법.
  18. 기판 상에 1 내지 5 ㎚ 두께의 전도성 템플릿 층을 형성하는 단계;
    상기 전도성 템플릿 층을 갖는 입자 크기를 증가시키도록 상기 전도성 템플릿 층을 어닐링하는 단계; 및
    상기 템플릿 층 상에 벌크 전도성 층을 형성하는 단계를 포함하고, 상기 벌크 전도성 층의 상기 입자들은 상기 전도성 템플릿 층의 입자들을 따르는, 방법.
  19. 제 18 항에 있어서,
    상기 전도성 템플릿 층은 몰리브덴인, 방법.
  20. 제 19 항에 있어서,
    상기 벌크 전도성 층은 텅스텐, 코발트, 루테늄, 니켈, 및 텅스텐, 코발트, 루테늄, 니켈 중 적어도 하나를 함유하는 합금들 중 하나로 구성된 그룹으로부터 선택되는, 방법.
  21. 갭들에 의해 분리된 복수의 옥사이드 층들을 갖는 부분적으로 제조된 3-D NAND 구조를 제공하는 단계; 및
    상기 갭들 내에 몰리브덴 템플릿 층을 컨포멀하게 증착하는 단계를 포함하는, 방법.
  22. 제 21 항에 있어서,
    상기 몰리브덴 템플릿 층은 약 1 내지 10 ㎚ 두께인, 방법.
  23. 제 21 항에 있어서,
    상기 몰리브덴 템플릿 층은 옥사이드 표면 상에 바로 증착되는, 방법.
  24. 제 21 항에 있어서,
    상기 몰리브덴 템플릿 층은 1 내지 5 ㎚ 두께인, 방법.
  25. 제 21 항에 있어서,
    상기 갭들을 텅스텐으로 충진하는 단계를 더 포함하는, 방법.
  26. 각각 기판을 하우징하도록 구성된 하나 이상의 챔버들;
    상기 하나 이상의 챔버들 각각의 지지 기판;
    가스를 상기 하나 이상의 챔버들 각각으로 지향시키도록 구성된 가스 유입구들;
    챔버 각각에서 상기 기판 지지부를 가열하도록 구성된 히터; 및
    제어기로서,
    몰리브덴 전구체를 상기 하나 이상의 챔버들 내로 유입시키는 단계; 및
    상기 몰리브덴 전구체를 유입시킨 후, 텅스텐 전구체를 상기 하나 이상의 챔버들 내로 유입시키는 단계를 위한 프로그램 인스트럭션들을 포함하는, 상기 제어기를 포함하는, 장치.
  27. 옥사이드 층들에 의해 분리된 복수의 텅스텐 워드라인들; 및
    상기 텅스텐-옥사이드 계면의 몰리브덴 박막을 포함하는, 3-D NAND 구조.
KR1020217018803A 2018-11-19 2019-11-18 텅스텐을 위한 몰리브덴 템플릿들 KR20210081436A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227031314A KR20220129105A (ko) 2018-11-19 2019-11-18 텅스텐을 위한 몰리브덴 템플릿들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862769479P 2018-11-19 2018-11-19
US62/769,479 2018-11-19
PCT/US2019/062067 WO2020106649A1 (en) 2018-11-19 2019-11-18 Molybdenum templates for tungsten

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227031314A Division KR20220129105A (ko) 2018-11-19 2019-11-18 텅스텐을 위한 몰리브덴 템플릿들

Publications (1)

Publication Number Publication Date
KR20210081436A true KR20210081436A (ko) 2021-07-01

Family

ID=70773331

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217018803A KR20210081436A (ko) 2018-11-19 2019-11-18 텅스텐을 위한 몰리브덴 템플릿들
KR1020227031314A KR20220129105A (ko) 2018-11-19 2019-11-18 텅스텐을 위한 몰리브덴 템플릿들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227031314A KR20220129105A (ko) 2018-11-19 2019-11-18 텅스텐을 위한 몰리브덴 템플릿들

Country Status (5)

Country Link
US (2) US20220013365A1 (ko)
JP (2) JP2022509621A (ko)
KR (2) KR20210081436A (ko)
CN (1) CN113169056A (ko)
WO (1) WO2020106649A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US20220277961A1 (en) * 2021-02-26 2022-09-01 Applied Materials, Inc. Low Resistivity Metal Contact Stack
US11869806B2 (en) 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
US20230290679A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Tungsten molybdenum structures

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US9076843B2 (en) * 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
JP2005026380A (ja) * 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
ATE480873T1 (de) * 2005-12-20 2010-09-15 Nxp Bv Vertikale phasenwechsel-speicherzelle und herstellungsverfahren dafür
US7910907B2 (en) * 2006-03-15 2011-03-22 Macronix International Co., Ltd. Manufacturing method for pipe-shaped electrode phase change memory
US20070232015A1 (en) * 2006-04-04 2007-10-04 Jun Liu Contact for memory cell
JP4267013B2 (ja) * 2006-09-12 2009-05-27 エルピーダメモリ株式会社 半導体装置の製造方法
KR100873890B1 (ko) * 2006-11-17 2008-12-15 삼성전자주식회사 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법
TWI324823B (en) * 2007-02-16 2010-05-11 Ind Tech Res Inst Memory device and fabrications thereof
KR100883412B1 (ko) * 2007-05-09 2009-02-11 삼성전자주식회사 자기 정렬된 전극을 갖는 상전이 메모리소자의 제조방법,관련된 소자 및 전자시스템
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
TWI449170B (zh) * 2009-12-29 2014-08-11 Ind Tech Res Inst 相變化記憶體裝置及其製造方法
US8227785B2 (en) * 2010-11-11 2012-07-24 Micron Technology, Inc. Chalcogenide containing semiconductors with chalcogenide gradient
KR101817158B1 (ko) * 2011-06-02 2018-01-11 삼성전자 주식회사 적층형 캐패시터를 포함하는 상변화 메모리 장치
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9627611B2 (en) * 2012-11-21 2017-04-18 Micron Technology, Inc. Methods for forming narrow vertical pillars and integrated circuit devices having the same
US11549181B2 (en) * 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9548266B2 (en) * 2014-08-27 2017-01-17 Nxp Usa, Inc. Semiconductor package with embedded capacitor and methods of manufacturing same
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US20170062714A1 (en) * 2015-08-31 2017-03-02 Intel Corporation Thermally regulated electronic devices, systems, and associated methods
US9659998B1 (en) * 2016-06-07 2017-05-23 Macronix International Co., Ltd. Memory having an interlayer insulating structure with different thermal resistance
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899372B1 (en) * 2016-10-31 2018-02-20 International Business Machines Corporation Forming on-chip metal-insulator-semiconductor capacitor
US10453744B2 (en) * 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10510590B2 (en) * 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10381411B2 (en) * 2017-12-15 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing conformal wrap around phase change material and method of manufacturing the same
US20220044929A1 (en) * 2018-05-22 2022-02-10 Versum Patents Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10505111B1 (en) * 2018-07-20 2019-12-10 International Business Machines Corporation Confined phase change memory with double air gap
US10510951B1 (en) * 2018-10-24 2019-12-17 Taiwan Semicondutor Manufacturing Co., Ltd. Low temperature film for PCRAM sidewall protection
US11362277B2 (en) * 2018-11-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall protection for PCRAM device
US10763432B2 (en) * 2018-12-13 2020-09-01 Intel Corporation Chalcogenide-based memory architecture
US10903273B2 (en) * 2019-01-04 2021-01-26 International Business Machines Corporation Phase change memory with gradual conductance change
US11145690B2 (en) * 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof

Also Published As

Publication number Publication date
JP2022509621A (ja) 2022-01-21
CN113169056A (zh) 2021-07-23
WO2020106649A1 (en) 2020-05-28
US20220359211A1 (en) 2022-11-10
US20220013365A1 (en) 2022-01-13
KR20220129105A (ko) 2022-09-22
JP2022180423A (ja) 2022-12-06

Similar Documents

Publication Publication Date Title
KR102572271B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
KR102641077B1 (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
US10529722B2 (en) Tungsten for wordline applications
KR20210027507A (ko) 순수 금속 막의 증착
US20220359211A1 (en) Molybdenum templates for tungsten
CN111357083A (zh) 自限制生长
KR20160140458A (ko) 저 불소 함량을 가진 텅스텐 막들
US20210313183A1 (en) Multi-layer feature fill
KR20160140448A (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US11970776B2 (en) Atomic layer deposition of metal films
KR20210092840A (ko) 3d nand 구조체 상의 원자 층 증착
KR20230128428A (ko) 피처들의 몰리브덴 증착
KR20230104542A (ko) 텅스텐 저 저항 펄싱된 cvd
KR20220044601A (ko) 금속 충진 프로세스 동안 라인 벤딩 감소
KR20230104071A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal