JP2022180423A - タングステン用モリブデンテンプレート - Google Patents

タングステン用モリブデンテンプレート Download PDF

Info

Publication number
JP2022180423A
JP2022180423A JP2022141888A JP2022141888A JP2022180423A JP 2022180423 A JP2022180423 A JP 2022180423A JP 2022141888 A JP2022141888 A JP 2022141888A JP 2022141888 A JP2022141888 A JP 2022141888A JP 2022180423 A JP2022180423 A JP 2022180423A
Authority
JP
Japan
Prior art keywords
layer
tungsten
molybdenum
template
containing layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022141888A
Other languages
English (en)
Inventor
ヴァン・クリーンプト・パトリック・エイ.
A Van Cleemput Patrick
ソンバー・シュルティ・ヴィヴェク
Vivek Thombare Shruti
ダネク・ミハル
Danek Michal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022180423A publication Critical patent/JP2022180423A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Manufacture And Refinement Of Metals (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】論理およびメモリ用途ならびに関連する製作方法のための低抵抗メタライゼーションスタック構造が提供される。【解決手段】基板上のフィーチャにモリブデン(Mo)含有層308を設けることと、Mo含有層上にタングステン(W)含有層を堆積し、それによってフィーチャを充填することとを含む方法によって実施されてもよい。いくつかの実施形態では、前記方法は、W含有層を堆積する前に、Mo含有層をアニールすることをさらに含む。Mo含有層は、W粒子成長のためのテンプレートであってもよい。【選択図】図3B

Description

[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
化学気相堆積(CVD)技術を使用したタングステン(W)膜堆積は、半導体製作ステップの不可欠な部分である。例えば、タングステン膜は、水平相互接続、隣接する金属層間のビア、およびシリコン基板上の第1の金属層とデバイスとの間の接点といった形態における低抵抗率電気接続として使用される場合がある。タングステン膜はまた、ダイナミックランダムアクセスメモリ(DRAM)用の埋め込みワードライン(bWL)アーキテクチャの形成、3D NAND用のワードライン、およびロジック用途を含む、様々なメモリ用途においても使用される場合がある。しかしながら、フィーチャサイズおよび膜厚の継続的な減少により、薄膜化に対する高抵抗率を含む様々な課題がもたらされる。
本明細書にて提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
本明細書では、低抵抗率バルク導体を形成する方法が提供される。前記方法は、大きな粒子サイズを有する薄い低抵抗率遷移金属層上にバルク導電性膜を形成することを含む。バルク導電性膜は、低抵抗率遷移金属膜の粒子に追従することにより、大きな粒子サイズとなる。また、テンプレート層およびバルク膜を含むデバイスも提供される。
本開示の一態様は、基板上のフィーチャにモリブデン(Mo)含有層を設けることと、Mo含有層上にタングステン(W)含有層を堆積し、それによってフィーチャを充填することとを含む方法によって実施されてもよい。いくつかの実施形態では、前記方法は、W含有層を堆積する前に、Mo含有層をアニールすることをさらに含む。Mo含有層は、W粒子成長のためのテンプレートであってもよい。いくつかの実施形態では、Mo含有層は、1(原子)%未満の不純物を有する元素Moである。Mo含有層は、比較的薄く、例えば、1~10nm、または1~5nmの厚さであってもよい。W含有層は、Mo含有層よりも少なくとも5倍、10倍、または20倍厚くてもよい。いくつかの実施形態では、Mo含有層は、酸化ケイ素層または酸化アルミニウム層などの誘電体層の上にある。いくつかの実施形態では、Mo含有層は、窒化チタン層などのバリア層の上にある。いくつかの実施形態では、Mo含有層は、フッ素不純物を含まない。
いくつかの実施形態では、Mo含有層の平均結晶子サイズは、少なくとも20nmである。いくつかの実施形態では、W含有層の平均結晶子は、少なくとも20nmである。
前記方法は、Mo含有層を堆積することをさらに含んでもよい。いくつかの実施形態では、Mo含有層は、1つまたは複数の塩化モリブデン前駆体から堆積される。例には、五塩化モリブデン(MoCl5)、二塩化二酸化モリブデン(MoO2Cl2)、および四塩化酸化モリブデン(MoOCl4)が挙げられる。いくつかの実施形態では、Mo含有層を堆積することは、塩化モリブデン前駆体が水素によって還元される原子層堆積プロセスを実施することを含む。
いくつかの実施形態では、W含有層は、六フッ化タングステンを使用して堆積される。W含有層は、核形成層を堆積することなく堆積されてもよい。いくつかの実施形態では、W含有層は、原子層堆積(ALD)によって堆積される。いくつかの実施形態では、タングステン含有膜は、化学気相堆積(CVD)によって堆積される。
本開示の別の態様は、基板上に導電性テンプレート層を形成することを含む方法であって、テンプレート層は、1~5nmであることと、導電性テンプレート層をアニールし、導電性テンプレート層で粒子サイズを増加させることと、テンプレート層上にバルク導電層を形成することとを含み、バルク導電層内の粒子は、導電性テンプレート層の粒子に追従することを含む方法によって実施されてもよい。いくつかの実施形態では、導電性テンプレート層は、モリブデンである。バルク導電層は、タングステン、コバルト、ルテニウム、ニッケルのうちの1つと、タングステン、コバルト、ルテニウム、ニッケルのうちの少なくとも1つを含む合金とからなる群から選択されてもよい。いくつかの実施形態では、導電性テンプレート層は、フィーチャを満たすように堆積され、バルク導電層は、フィーチャをバルク導電性材料で充填するように堆積される。
本開示の別の態様は、ギャップによって分離された複数の酸化物層を有する部分的に製作された3D NAND構造を提供することと、ギャップにモリブデンテンプレート層を共形的に堆積することとを含む方法によって実施されてもよく、モリブデンテンプレート層は、約1~10nmの厚さである。いくつかの実施形態では、モリブデンテンプレート層は、酸化物表面上に直接堆積される。いくつかの実施形態では、モリブデンテンプレート層は、1~5nmの厚さである。前記方法は、ギャップをタングステンで充填することをさらに含んでもよい。
本開示の別の態様は、部分的に製作された半導体基板の3D構造をタングステンで充填する方法であって、3D構造は、側壁と、側壁内の複数の開口部であって、開口部を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャにつながる複数の開口部とを備え、前記方法は、第1の層が3D構造の複数のフィーチャを共形的に満たすように3D構造内にモリブデンの第1の層を堆積することを含み、Mo含有層上にタングステン(W)を堆積し、それによってフィーチャをタングステンで充填することとを含む方法によって実施されてもよい。
本開示の別の態様は、各々が基板を収容するように構成された1つまたは複数のチャンバと、1つまたは複数のチャンバの各々における支持基板と、ガスを1つまたは複数のチャンバの各々に導くように構成されたガス入口と、各チャンバ内の基板支持体を加熱するように構成されたヒータと、モリブデン前駆体を1つまたは複数のチャンバに注入し、モリブデン前駆体を注入した後、タングステン前駆体を1つまたは複数のチャンバに注入するためのプログラム命令を含むコントローラとを備える装置によって実施されてもよい。
本開示の別の態様は、酸化物層によって分離された複数のタングステンワードラインと、タングステン-酸化物界面におけるモリブデン薄膜とを含む3D NAND構造によって実施されてもよい。いくつかの実施形態では、モリブデン薄膜は、1~5nmの厚さである。
これらおよび他の態様は、図を参照して以下で論じられる。
図1Aは、様々な実施形態に記載のモリブデン(Mo)テンプレートおよびタングステン(W)導体を含む材料スタックの概略例である。 図1Bは、様々な実施形態に記載のモリブデン(Mo)テンプレートおよびタングステン(W)導体を含む材料スタックの概略例である。
図2は、Moテンプレート上にW埋め込みワードライン(bWL)を含むDRAMアーキテクチャの概略例である。
図3Aは、3D NAND構造におけるWワードラインの概略例である。
図3Bは、Moテンプレート層を含むWワードラインの材料スタックを図示する。
図4は、導電性材料を堆積する方法における動作を示すプロセスフロー図である。
図5は、フィーチャをタングステンで充填する方法における動作を示すプロセスフロー図である。
図6は、モリブデンテンプレート上に堆積されたタングステン膜の画像を示す。
図7は、800℃でアニールした後の様々な厚さのMo膜についての抵抗率の低下を示すグラフである。
図8は、本明細書に記載の実施形態による堆積プロセスを実施するのに適したプロセスシステムのブロック図である。
以下の説明では、提示された実施形態の完全な理解を提供するために、多くの具体的な詳細が記載されている。開示された実施形態は、これらの具体的な詳細の一部またはすべてがなくても実施されてよい。他の例では、開示された実施形態を不必要に不明瞭にしないために周知のプロセス動作は、詳細には説明されていない。開示された実施形態が具体的な実施形態と併せて説明されることになるが、開示された実施形態を限定することを意図するものではないことを理解されたい。
本明細書では、論理およびメモリ用途のための低抵抗メタライゼーションスタック構造が提供される。図1Aおよび図1Bは、タングステン成長のためのテンプレートとしてモリブデン(Mo)を含む材料スタックの概略例である。図1Aおよび図1Bは、特定のスタックにおける材料の順序を示しており、図2、図3A、および図3Bに関して以下でさらに説明するように、任意の適切なアーキテクチャおよび用途で使用してもよい。図1Aの例では、基板102は、その上に堆積されたMo層108を有する。基板102は、シリコンまたは他の半導体ウエハ、例えば、200mmウエハ、300mmウエハ、または450mmウエハであってもよく、誘電体材料、導電性材料、または半導電性材料などの材料の1つまたは複数の層がその上に堆積されたウエハを含む。前記方法はまた、ガラス、プラスチックなどの他の基板上にメタライゼーションスタック構造を形成するために適用されてもよい。
図1Aでは、誘電体層104は、基板102上にある。誘電体層104は、基板102の半導体(例えば、Si)表面上に直接堆積されてもよく、または任意の数の介在層が存在してもよい。誘電体層の例には、ドープおよび非ドープの酸化ケイ素、窒化ケイ素、および酸化アルミニウムの層が挙げられ、具体的な例では、ドープまたは非ドープ層SiO2およびAl23が挙げられる。また、図1Aでは、拡散バリア層106は、Mo層108と誘電体層104との間に配置されている。拡散バリア層の例には、窒化チタン(TiN)、チタン/窒化チタン(Ti/TiN)、窒化タングステン(WN)、および炭窒化タングステン(WCN)が挙げられる。拡散バリアのさらなる例は、以下でさらに説明されるように、多成分Mo含有膜である。タングステン(W)層110は、Mo層108上に堆積され、構造の主要な導体である。以下でさらに論じられるように、Mo層108は、タングステン成長のためのテンプレートを提供する。いくつかの実施形態では、結果として、W層110は、タングステン核形成層がなくても堆積される。
図1Bは、材料スタックの別の例を示す。この例では、スタックは、基板102と、誘電体層104とを含み、Mo層108が介在する拡散バリア層がなくても誘電体層104上に直接堆積されている。図1Aの例のように、W層110は、Mo層108上に堆積され、構造の主要な導体である。タングステン成長のためのテンプレートとして大きな粒子を有するモリブデンを使用することによって、大きな粒子と低抵抗率を有するタングステンを形成できる。さらに、抵抗率は、より高い抵抗率のタングステン核形成層を排除することによって改善され得る。
図1Aおよび図1Bはメタライゼーションスタックの例を示しているが、前記方法および結果として得られるスタックは、そのように限定されない。例えば、いくつかの実施形態では、Moは、タングステン成長のためのテンプレートとしてSiまたは他の半導体基板上に直接堆積されてもよい。
さらに、Moテンプレート上でのW成長が上記の例において説明されているが、Mo層は、他の金属の低抵抗成長のためのテンプレートとして機能する場合があり、他の金属とは、モリブデン(Mo)、コバルト(Co)、ルテニウム(Ru)、ニッケル(Ni)、およびMoWなどのこれらの金属を含む合金が挙げられる。
上述し以下でさらに説明される材料スタックは、様々な実施形態において用いられてもよい。図2、図3A、および図3Bは、スタックを用いることが可能な構造の例を提供する。図2は、シリコン基板202内にW埋め込みワードライン(bWL)210を含むDRAMアーキテクチャの概略例を図示する。W bWL210は、シリコン基板202にエッチングされたトレンチ内に形成される。トレンチを満たすものは、共形Mo層208、および共形バリア層206とシリコン基板202との間に配置された絶縁層204である。図2の例では、絶縁層204は、酸化ケイ素材料または窒化ケイ素材料などの高k誘電体材料から形成されたゲート酸化物層であってもよい。いくつかの実施形態では、TiNまたはタングステン含有層などの共形バリア層は、Mo層208と絶縁層204との間に挿入されてもよい。
図3Aは、3D NAND構造323におけるWワードライン310の概略例を図示する。Wワードライン310は、酸化物層311によって分離されている。図3Bには、酸化アルミニウム(Al23)の層304およびMo層308を含む、Wワードライン310と酸化物層311との間の界面の詳細が示されている。上述のように、Wワードライン310は、タングステン核形成層がなくてもMo層308上に堆積されてもよい。いくつかの実施形態では、Mo層308は、本明細書に記載のように、酸化物層311上に、またはTiNもしくは他のバリア層上に直接堆積されてもよい。Mo層は、例えば、約10nm~100nmのWワードライン層の堆積に対して、約10Å~100Å、または10Å~50Åであってもよい。
図4は、導電性材料を堆積する方法における動作を示すプロセスフロー図である。動作402において、テンプレート層が形成される。以下でさらに説明するように、これは、化学気相堆積(CVD)および原子層堆積(ALD)などの気相堆積技術を含むことができる。テンプレート層は、モリブデンなどの比較的大きな粒子成長を有する材料である。いくつかの実施形態において、この層は、比較的薄く、10nm以下または50nm以下であってもよい。一般に、この層は、下層の構造上で継続的に成長するのに十分な厚さである。例示的な厚さは、1nm~5nm、または2nm~5nmの範囲である。テンプレート層は、図2および図3Bの例のように、下層の構造に一致してもよい。3D NAND構造などの課題となる構造の場合、ALDを使用して共形層を形成してもよい。テンプレート層が形成さる場合の例示的な表面には、誘電体層およびバリア層の表面が含まれる。特定の実施形態では、テンプレート層は、フッ素非含有前駆体から堆積されてもよい。これにより、フッ素が下層の構造に移動することを防止できる。
いくつかの実施形態では、テンプレート層は、動作402においてアニールされる。層の熱アニールは、粒子サイズを増加させ、抵抗率を低くすることができる。モリブデンに対するアニール温度の例は、700℃~1100℃の範囲である。一般に、アニールは、溶融温度またはそれに近い温度で実施される。アニールは、炉内で、または急速熱アニールによって実施されてもよい。様々な実施形態によれば、アニールは、水素(H2)雰囲気、窒素(N2)雰囲気、または真空を含む、任意の適切な雰囲気において実施されてもよい。いくつかの実施形態では、膜は、アニール前に還元環境に曝露され、任意の酸化物形成物を除去することが可能である。テンプレートがアニール前に空気に曝露される場合、酸化物は、特に形成される可能性がある。動作406において、バルク層は、テンプレート層上に形成される。テンプレート上に堆積された結果、粒子サイズが大きくなる。バルク層は、一般に、構造の主要な導体である。テンプレート上にバルク層を堆積することによって、六フッ化タングステン(WF6)または六フッ化モリブデン(MoF6)などのより安価なおよび/または容易に入手可能な前駆体が使用されてもよい。構造に応じて、ALD法またはCVD法が使用されてもよい。一例では、WF6およびH2は、タングステンを堆積するために使用される。他のバルク膜の堆積については、以下でさらに説明する。
Moテンプレート層を形成する方法は、CVD堆積およびALD堆積などの気相堆積技術を含む。ALD技術では、還元剤(または他の共反応物)、任意選択のパージガス、およびMo含有前駆体のパルスが反応チャンバに順次注入され、反応チャンバからパージされる。あるいは、Mo層の堆積は、還元剤およびMo含有前駆体を堆積チャンバに流し、フィーチャにMo層を堆積するCVDプロセスによって発生させることができる。不活性キャリアガスを使用して反応物ストリームの1つまたは複数を送給してもよく、これは事前に混合されていてもいなくてもよい。ALDプロセスとは異なり、この動作には一般に、所望の量が堆積されるまで継続的に反応物を流す必要がある。特定の実施態様では、CVD動作は、複数の段階で行われてもよく、反応物の継続的かつ同時に流れる複数の期間が、1つまたは複数の反応物の流れが迂回する期間によって分離される。
Mo含有前駆体は、六フッ化モリブデン(MoF6)、五塩化モリブデン(MoCl5)、二塩化二酸化モリブデン(MoO2Cl2)、四塩化酸化モリブデン(MoOCl4)、およびモリブデンヘキサカルボニル(Mo(CO)6)を含む。モリブデンシリルシクロペンタジエニルおよびモリブデンシリルアリル錯体などの有機金属前駆体が使用されてもよい。Mo含有前駆体は、ハロゲン化物前駆体であってもよく、これは、MoF6およびMoCl5、ならびに安定分子を形成することができる2つ以上のハロゲンを有する混合ハロゲン化物前駆体を含む。混合ハロゲン化物前駆体の一例は、安定分子を形成し得るMoClxBryであり、xおよびyは、0よりも大きい任意の数である。
特定の実施形態では、Mo層は、誘電体層またはTiNもしくは他のバリア層上に直接堆積される。ALDプロセスでは、共反応物、任意選択のパージガス、およびMo含有前駆体のパルスが反応チャンバに順次注入され、反応チャンバからパージされる。いくつかの実施形態では、薄いMo層は、共反応物としてホウ素含有還元剤(例えば、B26)、シリコン含有還元剤(例えば、SiH4)、または水素(H2)の1つまたは複数を使用して堆積される。例えば、1つまたは複数のS/Moサイクル、(ここでS/Moとは、シランのパルスおよびそれに続くMo含有前駆体のパルスを指す)を用いて、タングステン堆積のためのテンプレートとして機能する薄いMo層を堆積してもよい。別の例では、1つまたは複数のB/Moサイクル(ここでB/Moとは、ジボランのパルスおよびそれに続くMo含有前駆体のパルスを指す)を用いて、堆積されるタングステン層上に薄いMo層を堆積してもよい。B/MoサイクルとS/Moサイクルは両方とも、Mo層を堆積するために使用されてもよく、例えば、x(B/Mo)+y(S/Mo)、xおよびyは、整数である。またさらに、1つまたは複数のH2/Moサイクルを使用して、B/Moサイクルおよび/またはS/Moサイクルの有無にかかわらず、薄いMo層を堆積してもよい。
Mo層の厚さおよび堆積されるMo層の構造に応じて、Mo層の堆積は、Mo核形成層の堆積およびそれに続くバルク層による堆積を含むことができる。いくつかの実施形態では、これは、核形成層のALD堆積およびそれに続くバルク層のCVD堆積を含むことができる。
いくつかの実施形態では、Moテンプレート層の堆積は、還元剤層を形成し、続いて還元剤層をMo含有前駆体に曝露することを含むことができる。還元剤層は、元素シリコン(Si)、元素ホウ素(B)、元素ゲルマニウム(Ge)、またはそれらの混合物を含んでもよく、もしくは本質的に元素シリコン(Si)、元素ホウ素(B)、元素ゲルマニウム(Ge)、またはそれらの混合物からなる場合もある。例えば、還元剤層は、SiおよびBを含んでもよい。Bの量を調節することにより、低抵抗率であっても還元剤層の高い堆積速度を達成できる。
Mo堆積中の基板温度は、300℃~800℃であってもよい。基板温度は、熱収支および析出化学種に依拠することになる。熱収支は、用途に依拠するが、高い堆積温度がメモリ用途では問題にならない場合がある一方、論理用途では熱収支を超える可能性がある。
図5は、フィーチャ充填のためのプロセスの一例を示す。図5のプロセスは、例えば、タングステンワードラインの充填に使用されてもよい。動作502において、Moテンプレートは、塩素含有Mo前駆体を使用してALDによって堆積される。ALDプロセスを使用して、課題となる3D NAND構造に対する共形性およびステップカバレッジを達成できる。ALDサイクルを使用して、例えば、誘電体層またはバリア層の表面上に約10Å~50ÅのMo層を堆積してもよい。いくつかの実施形態では、ALDサイクルは、ホウ素またはシリコンを膜に組み込むことなく、還元剤としてH2を使用する。さらに、ALDサイクルは、塩化物含有前駆体を使用する。これにより、下層の誘電体層がフッ素に曝露されることを防止する。塩素含有Mo前駆体の場合、例えば、450℃~800℃、およびいくつかの実施形態では、少なくとも500℃、または550℃~650℃の比較的高い堆積温度が使用されてもよい。これらの前駆体におけるMo-Cl結合が比較的強いため、高温により堆積が促進される。
次に、動作504において、Moテンプレートは、アニールされる。上述のように、アニールの前に、酸化物を除去するための還元ステップが行われてもよい。これにより、空気または他の酸化剤への曝露の結果として形成された二酸化モリブデン(MoO2)または三酸化モリブデン(MoO3)を除去できる。特にMoO3は、795℃の融点を有し、除去しない場合、アニール中に溶融する可能性がある。次に、動作506において、バルク層は、Moテンプレート上に堆積され、ワードラインまたは他の導体を形成する。タングステン充填は、WF6などのフッ素化前駆体を含むことができ、Mo層が、誘電体へのフッ素の移動に対するバリアを提供する。3D NAND構造の場合、動作506は、ALD堆積においてWF6とH2の交互のパルスを含んでもよい。いくつかの実施形態では、堆積は、タングステン核形成層を形成することなく実施されてもよい。タングステンの例示的な厚さは、50Å~300Åの範囲である。いくつかの実施形態によれば、W:Moの厚さの比は、1:1~15:1、例えば、2:1~10:1、または2:1~5:1であってもよい。
上述のように、図4を参照して論じられた方法は、テンプレート上に他の低抵抗率バルク膜を堆積するために使用されてもよい。このような膜は、コバルト(Co)、ルテニウム(Ru)、およびニッケル(Ni)を含むことができる。コバルト前駆体の例には、ジカルボニルシクロペンタジエニルコバルト、コバルトカルボニル、コバルトアミジネート前駆体、コバルトジアザジエニル錯体、およびコバルトアミジネート/グアニジネート前駆体が挙げられる。酸化反応に使用してもよいルテニウム前駆体の例には、(エチルベンジル)(1-エチル-1,4-シクロヘキサジエニル)Ru(0)、(1-イソプロピル-4-メチルベンジル)(1,3-シクロヘキサジエニル)Ru(0)、2,3-ジメチル-1,3-ブタジエニル)Ru(0)トリカルボニル、(1,3-シクロヘキサジエニル)Ru(0)トリカルボニル、および(シクロペンタジエニル)(エチル)Ru(II)ジカルボニルが挙げられる。非酸化反応物と反応するルテニウム前駆体の例は、ビス(5-メチル-2,4-ヘキサンジケトナト)Ru(II)ジカルボニルおよびビス(エチルシクロペンタジエニル)Ru(II)である。ニッケル前駆体の例には、シクロペンタジエニルアリルニッケル(CpAllylNi)およびMeCp2Niが挙げられる。
上記の説明では、ALDを使用して、バルクタングステンまたは他のバルク材料を堆積してもよい。特に、ALDを使用して、横方向の粒子成長を有するタングステンまたは他の金属を堆積してもよい。このようにして堆積された金属は、より大きな横方向の粒子成長を含む、CVDまたはスパッタリングなどの技術で得られるよりもはるかに大きな粒子成長を有する。いくつかの実施形態では、少なくとも100Åの幅の粒子が成長する。横方向の粒子成長を提供できる例示的なW ALD堆積条件には、300℃~500℃の基板温度、または500℃未満および10torr~50torrのチャンバ圧力が挙げられる。
用途の例には、3D NANDワードライン充填およびDRAM bWL充填が挙げられる。これらの用途では、モリブデンの単一のテンプレート層(または他のテンプレート層)を使用して、フィーチャの残りの部分をタングステン(または他の主要な導体)で充填してもよい。Moの他のテンプレート層は、窒化チタン(TiN)などの層、または酸化シリコン(例えば、SiO2)、酸化アルミニウム(例えば、Al23)、酸化ハフニウム(例えば、HfO2)、および酸化ジルコニウム(例えば、ZrO2)などの酸化物上に堆積されてもよい。
実験
モリブデン膜をAl23上に直接成長させ、続いてアニールし、そしてタングステンを堆積させた。図6は、Mo膜上のW膜の画像を示す。画像は、W粒子が下のMo粒子からテンプレートを形成していることを示す。
いくつかの実施形態では、熱アニールは、Mo堆積後に実施される。これにより、Mo粒子の成長および抵抗率の低下が可能となる。Moの融点がWの融点よりも低いため、Mo膜の場合、低温で粒子の成長およびそれに伴う抵抗率の減少が生じる。アニール温度の例は、700℃~1100℃の範囲である。アニールは、炉内で、または急速熱アニールによって実施されてもよい。様々な実施形態によれば、アニールは、水素(H2)雰囲気、窒素(N2)雰囲気、または真空を含む、任意の適切な雰囲気において実施されてもよい。
様々な実施形態によれば、Mo膜は、堆積とアニールとの間で空気に曝露されてもされなくてもよい。空気または他の酸化環境に曝露される場合、還元環境をアニール中またはアニール前に用いて、曝露の結果として形成された二酸化モリブデン(MoO2)または三酸化モリブデン(MoO3)を除去してもよい。特にMoO3は、795℃の融点を有し、除去しない場合、アニール中に溶融する可能性がある。
以下の表1は、2つのW膜(AおよびB)および2つのMo膜(CおよびD)を比較している。
Figure 2022180423000002
膜Aは、WF6を使用して堆積されたタングステン膜である。膜Bは、WCl5およびWCl6を使用して堆積されたタングステン膜である。膜Cは、MoCl5を使用して堆積されたモリブデン膜であり、膜Dは、MoOCl4を使用して堆積されたモリブデン膜である。膜Dは、堆積後のアニールを受けた。特に、抵抗率は、膜AおよびBよりも膜CおよびDの方が低くなっている。抵抗率は、厚さと共に減少し、25μΩ-cm(膜C)および17μΩ-cm(膜D)が40μΩ-cm(膜A)に直接匹敵する。O含有前駆体で堆積された膜Dは、低いOを示す。膜CおよびDの応力は、膜AおよびBの応力に匹敵する。
図7は、800℃でアニール後にWCN上に堆積された様々な厚さのMo膜についての抵抗率の低下を示すグラフである。比較のために、WCN上のW膜の抵抗率も示されている。抵抗率の大幅な減少が観察される。抵抗率の減少は、粒子の成長によるものである。以下の表2は、堆積後およびアニール後のCVD Mo膜におけるMo粒子についての相および平均粒子サイズを示す。
Figure 2022180423000003
2雰囲気において800℃で1時間と、5分間行った炉アニールが、同等の結果を示した。
装置
開示された実施形態の実施には、任意の適切なチャンバを使用してもよい。例示的な堆積装置は、様々なシステム、例えば、カリフォルニア州フリーモントのLam Research社から入手可能なALTUS(商標)およびALTUS(商標)Max、または様々な他の市販の利用可能なプロセスシステムのいずれかを含む。プロセスは、複数の堆積ステーションで並行して実施できる。
いくつかの実施形態では、モリブデンテンプレート堆積プロセスは、単一の堆積チャンバ内に位置決めされた2つ、5つ、またはさらに多くの堆積ステーションの1つである第1のステーションで実施される。いくつかの実施形態では、プロセスのための様々なステップは、堆積チャンバの2つの異なるステーションで実施される。例えば、基板は、基板表面に局所的な雰囲気を作り出す個々のガス供給システムを使用して、第1のステーション内でH2に曝露されてもよく、次に基板を第2のステーションに移送してMoOCl5などの前駆体に曝露し、テンプレート層を堆積してもよい。いくつかの実施形態では、基板は次に、水素の2回目の曝露のために第1のステーションに戻されてもよい。次いで基板は、MoOCl5(または他の塩化タングステン)への曝露のために第2のステーションに移送されてもよい。これを必要に応じて繰り返してMoテンプレート堆積を完了し、同じまたは異なるステーション内でタングステン堆積を進めてもよい。そして、1つまたは複数のステーションを使用して、上述のようにタングステン堆積を実施することができる。
図8は、本明細書に記載の実施形態による堆積プロセスを実施するのに適したプロセスシステムのブロック図である。システム800は、移送モジュール803を含む。移送モジュール803は、プロセス中の基板が様々なリアクタモジュール間を移動するときの基板の汚染リスクを最小化するために、清潔な加圧環境を提供する。本明細書に記載の実施形態によれば、移送モジュール803には、核形成層堆積(パルス核形成層(PNL)堆積と呼ばれる場合がある)、ならびにALD堆積およびCVD堆積を実施することが可能なマルチステーションリアクタ809が取り付けられている。チャンバ809は、これらの動作を順次実施可能な複数のステーション811、813、815、および817を含んでもよい。例えば、チャンバ809は、ステーション811および813がPNL堆積またはALD堆積を実施し、ステーション813および815がCVDを実施するように構成され得る。各堆積ステーションは、加熱されたウエハ台座およびシャワーヘッド、分散プレートまたは他のガス入口を含んでもよい。
また、移送モジュール803には、プラズマによる前洗浄または化学的(非プラズマ)前洗浄を実施可能な1つまたは複数のシングルステーションモジュールまたはマルチステーションモジュール807が取り付けられてもよい。モジュールはまた、様々な他のプロセス、例えば、還元剤浸漬に使用されてもよい。システム800はまた、プロセス前およびプロセス後のウエハを格納する1つまたは複数(この場合では2つ)のウエハソースモジュール801を含む。大気移送チャンバ819内の大気ロボット(図示せず)は、最初にウエハをソースモジュール801から取り出してロードロック821へ移送する。移送モジュール1103内のウエハ移送デバイス(一般的には、ロボットアームユニット)は、ウエハをロードロック821から移送モジュール803に取り付けられたモジュールに移動させたり、モジュール間で移動させたりする。
特定の実施形態では、システムコントローラ829を用いて、堆積中のプロセス条件を制御する。コントローラは、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことになる。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含んでもよい。
コントローラは、堆積装置の活動のすべてを制御してもよい。システムコントローラは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、使用される場合には無線周波数(RF)電力レベル、ウエハチャック位置または台座位置、および特定のプロセスの他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラに関連するメモリデバイスに格納された他のコンピュータプログラムを用いてもよい。
典型的には、コントローラに関連するユーザインターフェースが存在することになる。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでもよい。
システム制御論理は、任意の適切な方法で構成されてもよい。一般に、論理は、ハードウェアおよび/またはソフトウェアにおいて設計または構成され得る。駆動回路を制御するための命令は、ハードコードされるか、またはソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されてもよい。そのようなプログラミングには、デジタル信号プロセッサのハードコードされた論理、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスが挙げられ、あらゆる形式の論理を含むことが理解される。プログラミングはまた、汎用プロセッサで実行可能なソフトウェアまたはファームウェア命令も含むことが理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化されてもよい。あるいは、制御論理は、コントローラにハードコードされてもよい。これらの目的のために、特定用途向け集積回路、プログラム可能論理デバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などが使用されてもよい。以下の説明では、「ソフトウェア」または「コード」が使用される場合、機能的に同等のハードコードされた論理が代わりに利用されてもよい。
プロセスシーケンスにおける堆積および他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えば、アセンブリ言語、C、C++、パスカル、フォートランなどで書かれることができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムに識別されたタスクを実施するためにプロセッサによって実行される。
コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、冷却ガス圧力、ならびにチャンバ壁温度などのプロセス条件に関連している。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、堆積装置のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されてもよい。例えば、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトは、本明細書に記載の堆積プロセスを実行するために必要なチャンバ構成要素の動作を制御するために書かれてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めコード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードが挙げられる。
いくつかの実施態様では、コントローラ829は、システムの一部であり、そのようなシステムが上述した例の一部であってもよい。そのようなシステムは、1つまたは複数のプロセスツール、1つまたは複数のチャンバ、1つまたは複数のプロセス用プラットフォーム、および/または特定のプロセス構成要素(ウエハ台座、ガス流システムなど)を含む半導体プロセス装置を含むことができる。これらのシステムは、半導体ウエハまたは基板のプロセス前、プロセス中、およびプロセス後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は、「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラ829は、プロセス要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、プロセスガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実施するための動作パラメータを定義してもよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作中に1つまたは複数のプロセスステップを実現できる可能性がある。
いくつかの実施態様では、コントローラ829は、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラ829は、「クラウド」内にあってもよいし、ファブホストコンピュータシステムの全てもしくは一部であってもよい。これにより、ウエハプロセスのリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を調査し、複数の製作動作から傾向または性能基準を調査し、現在のプロセスのパラメータを変更し、現在のプロセスに続くプロセスステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各プロセスステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され、本明細書で説明されるプロセスおよび制御などの共通の目的に向けて協働する1つまたは複数の個別のコントローラを含むことによって分散されてもよい。このような目的のための分散型コントローラの例としては、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置され、チャンバ上のプロセスを制御するように結合する1つまたは複数の集積回路と通信する、チャンバ上の1つまたは複数の集積回路が挙げられるであろう。
限定されるものではないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、CVDチャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するまたは使用されてもよい任意の他の半導体プロセスシステムを含んでもよい。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
コントローラ829は、様々なプログラムを含んでもよい。基板位置決めプログラムは、基板を台座またはチャック上にロードし、基板とガス入口および/またはターゲットなどのチャンバの他の部分との間隔を制御するために使用されるチャンバ構成要素を制御するためのプログラムコードを含んでもよい。プロセスガス制御プログラムは、チャンバ内の圧力を安定化するために、ガス組成と流量を制御するためのコード、および任意で堆積前にガスをチャンバ内に流すためのコードを含んでもよい。圧力制御プログラムは、例えば、チャンバの排気システムのスロットル弁を調節することによってチャンバ内の圧力を制御するためのコードを含んでもよい。ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでもよい。あるいは、ヒータ制御プログラムは、ウエハチャックへの熱伝達ガス(ヘリウムなど)の送給を制御してもよい。
堆積中に監視可能なチャンバセンサの例には、マスフローコントローラ、圧力計などの圧力センサ、および台座またはチャック内に位置する熱電対が挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、所望のプロセス条件を維持してもよい。
以上、シングルチャンバまたはマルチチャンバの半導体プロセスツールにおける本開示の実施形態の実施について説明した。
以上、シングルチャンバまたはマルチチャンバの半導体プロセスツールにおける開示された実施形態の実施について説明した。本明細書で説明される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。典型的には、必須ではないが、そのようなツール/プロセスは、共通の製作施設において共に使用または実施されることになる。膜のリソグラフィパターニングは、典型的には、以下のステップの一部またはすべてを含み、各ステップが数多くの可能なツールを提供される:(1)スピンオンツールまたはスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布するステップ、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光にフォトレジストを露光するステップ、(4)ウェットベンチなどのツールを使用して、レジストを選択的に除去し、それによってレジストをパターニングするようにレジストを現像するステップ、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することによって、下層の膜またはワークピースにレジストパターンを転写するステップ、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。
上記の説明および特許請求の範囲において、数値範囲は、範囲の終点を含む。例えば、「1~5nmの厚さ」は、1nmおよび5nmを含む。同様に、ダッシュで表される範囲は、範囲の終点を含む。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに注意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、本実施形態は、本明細書に述べられる詳細に限定されるべきではない。
結論
前述の実施形態は、明確な理解のために多少詳しく説明されてきたが、一定の変更および修正が添付の特許請求の範囲の範囲内で実施されてもよいことは明らかであろう。本実施形態のプロセス、システム、および装置の実施には多くの別の方法があることに注意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、本実施形態は、本明細書に述べられる詳細に限定されるべきではない。本開示は以下の適用例としても実現できる。
[適用例1]
基板上のフィーチャにモリブデン(Mo)含有層を堆積することと、
前記Mo含有層上にタングステン(W)を堆積し、それによって前記フィーチャをタングステンで充填することと
を含む、方法。
[適用例2]
適用例1に記載の方法であって、
タングステンを堆積する前に、前記Mo含有層を熱アニールすることをさらに含む、方法。
[適用例3]
適用例1に記載の方法であって、
前記Mo含有層は、W粒子成長のためのテンプレートである、方法。
[適用例4]
適用例1に記載の方法であって、
前記Mo含有層は、1(原子)%未満の不純物を有する元素Moである、方法。
[適用例5]
適用例1に記載の方法であって、
前記Mo含有層は、1~10nmの厚さである、方法。
[適用例6]
適用例1に記載の方法であって、
前記Mo含有層は、誘電体層の上にある、方法。
[適用例7]
適用例1に記載の方法であって、
前記Mo含有層は、フッ素不純物を含まない、方法。
[適用例8]
適用例1に記載の方法であって、
前記Mo含有層を堆積することをさらに含む、方法。
[適用例9]
適用例8に記載の方法であって、
前記Mo含有層は、1つまたは複数の塩化モリブデン前駆体から堆積される、方法。
[適用例10]
適用例9に記載の方法であって、
前記1つまたは複数の塩化モリブデン前駆体は、五塩化モリブデン(MoCl 5 )、二塩化二酸化モリブデン(MoO 2 Cl 2 )、および四塩化酸化モリブデン(MoOCl 4 )から選択される、方法。
[適用例11]
適用例8に記載の方法であって、
前記Mo含有層を堆積することは、塩化モリブデン前駆体が水素によって還元される原子層堆積プロセスを実施することを含む、方法。
[適用例12]
適用例1に記載の方法であって、
前記タングステンは、六フッ化タングステンを使用して堆積される、方法。
[適用例13]
適用例1に記載の方法であって、
前記Mo含有層の平均結晶子サイズは、少なくとも20nmである、方法。
[適用例14]
適用例1に記載の方法であって、
前記タングステンの平均結晶子は、少なくとも20nmである、方法。
[適用例15]
適用例1に記載の方法であって、
前記タングステンは、核形成層を堆積することなく堆積される、方法。
[適用例16]
適用例1に記載の方法であって、
前記タングステンは、原子層ALDによって堆積される、方法。
[適用例17]
部分的に製造された半導体基板の3D構造をタングステンで充填する方法であって、前記3D構造は、側壁と、前記側壁内の複数の開口部であって、前記開口部を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャにつながる複数の開口部とを備え、前記方法は、
モリブデンの第1の層が前記3D構造の前記複数のフィーチャを共形的に満たすように、前記3D構造内に前記第1の層を堆積することと、
Mo含有層上にタングステン(W)を堆積し、それによって前記フィーチャをタングステンで充填することと
を含む、方法。
[適用例18]
基板上に導電性テンプレート層を形成することであって、前記導電性テンプレート層は、1~5nmの厚さであることと、
前記導電性テンプレート層をアニールし、前記導電性テンプレート層で粒子サイズを増加させることと、
前記テンプレート層上にバルク導電層を形成することであって、前記バルク導電層内の粒子は、前記導電性テンプレート層の粒子に追従することと
を含む、方法。
[適用例19]
適用例18に記載の方法であって、
前記導電性テンプレート層は、モリブデンである、方法。
[適用例20]
適用例19に記載の方法であって、
前記バルク導電層は、タングステン、コバルト、ルテニウム、ニッケルのうちの1つと、タングステン、コバルト、ルテニウム、ニッケルのうちの少なくとも1つを含む合金とからなる群から選択される、方法。
[適用例21]
ギャップによって分離された複数の酸化物層を有する部分的に製作された3D NAND構造を提供することと、
前記ギャップにモリブデンテンプレート層を共形的に堆積することと
を含む、方法。
[適用例22]
適用例21に記載の方法であって、
前記モリブデンテンプレート層は、約1~10nmの厚さである、方法。
[適用例23]
適用例21に記載の方法であって、
前記モリブデンテンプレート層は、酸化物表面上に直接堆積される、方法。
[適用例24]
適用例21に記載の方法であって、
前記モリブデンテンプレート層は、1~5nmの厚さである、方法。
[適用例25]
適用例21に記載の方法であって、
前記ギャップをタングステンで充填することをさらに含む、方法。
[適用例26]
各々が基板を収容するように構成された1つまたは複数のチャンバと、
前記1つまたは複数のチャンバの各々における支持基板と、
ガスを前記1つまたは複数のチャンバの各々に導くように構成されたガス入口と、
各チャンバ内の前記基板支持体を加熱するように構成されたヒータと、
モリブデン前駆体を前記1つまたは複数のチャンバに注入し、
前記モリブデン前駆体を注入した後、タングステン前駆体を前記1つまたは複数のチャンバに注入する
ためのプログラム命令を含むコントローラと
を備える、装置。
[適用例27]
酸化物層によって分離された複数のタングステンワードラインと、
タングステン-酸化物界面におけるモリブデン薄膜と
を備える、3D NAND構造。

Claims (27)

  1. 基板上のフィーチャにモリブデン(Mo)含有層を堆積することと、
    前記Mo含有層上にタングステン(W)を堆積し、それによって前記フィーチャをタングステンで充填することと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    タングステンを堆積する前に、前記Mo含有層を熱アニールすることをさらに含む、方法。
  3. 請求項1に記載の方法であって、
    前記Mo含有層は、W粒子成長のためのテンプレートである、方法。
  4. 請求項1に記載の方法であって、
    前記Mo含有層は、1(原子)%未満の不純物を有する元素Moである、方法。
  5. 請求項1に記載の方法であって、
    前記Mo含有層は、1~10nmの厚さである、方法。
  6. 請求項1に記載の方法であって、
    前記Mo含有層は、誘電体層の上にある、方法。
  7. 請求項1に記載の方法であって、
    前記Mo含有層は、フッ素不純物を含まない、方法。
  8. 請求項1に記載の方法であって、
    前記Mo含有層を堆積することをさらに含む、方法。
  9. 請求項8に記載の方法であって、
    前記Mo含有層は、1つまたは複数の塩化モリブデン前駆体から堆積される、方法。
  10. 請求項9に記載の方法であって、
    前記1つまたは複数の塩化モリブデン前駆体は、五塩化モリブデン(MoCl5)、二塩化二酸化モリブデン(MoO2Cl2)、および四塩化酸化モリブデン(MoOCl4)から選択される、方法。
  11. 請求項8に記載の方法であって、
    前記Mo含有層を堆積することは、塩化モリブデン前駆体が水素によって還元される原子層堆積プロセスを実施することを含む、方法。
  12. 請求項1に記載の方法であって、
    前記タングステンは、六フッ化タングステンを使用して堆積される、方法。
  13. 請求項1に記載の方法であって、
    前記Mo含有層の平均結晶子サイズは、少なくとも20nmである、方法。
  14. 請求項1に記載の方法であって、
    前記タングステンの平均結晶子は、少なくとも20nmである、方法。
  15. 請求項1に記載の方法であって、
    前記タングステンは、核形成層を堆積することなく堆積される、方法。
  16. 請求項1に記載の方法であって、
    前記タングステンは、原子層ALDによって堆積される、方法。
  17. 部分的に製造された半導体基板の3D構造をタングステンで充填する方法であって、前記3D構造は、側壁と、前記側壁内の複数の開口部であって、前記開口部を通して流体的にアクセス可能な複数の内部領域を有する複数のフィーチャにつながる複数の開口部とを備え、前記方法は、
    モリブデンの第1の層が前記3D構造の前記複数のフィーチャを共形的に満たすように、前記3D構造内に前記第1の層を堆積することと、
    Mo含有層上にタングステン(W)を堆積し、それによって前記フィーチャをタングステンで充填することと
    を含む、方法。
  18. 基板上に導電性テンプレート層を形成することであって、前記導電性テンプレート層は、1~5nmの厚さであることと、
    前記導電性テンプレート層をアニールし、前記導電性テンプレート層で粒子サイズを増加させることと、
    前記テンプレート層上にバルク導電層を形成することであって、前記バルク導電層内の粒子は、前記導電性テンプレート層の粒子に追従することと
    を含む、方法。
  19. 請求項18に記載の方法であって、
    前記導電性テンプレート層は、モリブデンである、方法。
  20. 請求項19に記載の方法であって、
    前記バルク導電層は、タングステン、コバルト、ルテニウム、ニッケルのうちの1つと、タングステン、コバルト、ルテニウム、ニッケルのうちの少なくとも1つを含む合金とからなる群から選択される、方法。
  21. ギャップによって分離された複数の酸化物層を有する部分的に製作された3D NAND構造を提供することと、
    前記ギャップにモリブデンテンプレート層を共形的に堆積することと
    を含む、方法。
  22. 請求項21に記載の方法であって、
    前記モリブデンテンプレート層は、約1~10nmの厚さである、方法。
  23. 請求項21に記載の方法であって、
    前記モリブデンテンプレート層は、酸化物表面上に直接堆積される、方法。
  24. 請求項21に記載の方法であって、
    前記モリブデンテンプレート層は、1~5nmの厚さである、方法。
  25. 請求項21に記載の方法であって、
    前記ギャップをタングステンで充填することをさらに含む、方法。
  26. 各々が基板を収容するように構成された1つまたは複数のチャンバと、
    前記1つまたは複数のチャンバの各々における支持基板と、
    ガスを前記1つまたは複数のチャンバの各々に導くように構成されたガス入口と、
    各チャンバ内の前記基板支持体を加熱するように構成されたヒータと、
    モリブデン前駆体を前記1つまたは複数のチャンバに注入し、
    前記モリブデン前駆体を注入した後、タングステン前駆体を前記1つまたは複数のチャンバに注入する
    ためのプログラム命令を含むコントローラと
    を備える、装置。
  27. 酸化物層によって分離された複数のタングステンワードラインと、
    タングステン-酸化物界面におけるモリブデン薄膜と
    を備える、3D NAND構造。
JP2022141888A 2018-11-19 2022-09-07 タングステン用モリブデンテンプレート Pending JP2022180423A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862769479P 2018-11-19 2018-11-19
US62/769,479 2018-11-19
PCT/US2019/062067 WO2020106649A1 (en) 2018-11-19 2019-11-18 Molybdenum templates for tungsten
JP2021527153A JP2022509621A (ja) 2018-11-19 2019-11-18 タングステン用モリブデンテンプレート

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021527153A Division JP2022509621A (ja) 2018-11-19 2019-11-18 タングステン用モリブデンテンプレート

Publications (1)

Publication Number Publication Date
JP2022180423A true JP2022180423A (ja) 2022-12-06

Family

ID=70773331

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021527153A Pending JP2022509621A (ja) 2018-11-19 2019-11-18 タングステン用モリブデンテンプレート
JP2022141888A Pending JP2022180423A (ja) 2018-11-19 2022-09-07 タングステン用モリブデンテンプレート

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021527153A Pending JP2022509621A (ja) 2018-11-19 2019-11-18 タングステン用モリブデンテンプレート

Country Status (5)

Country Link
US (2) US20220013365A1 (ja)
JP (2) JP2022509621A (ja)
KR (2) KR20210081436A (ja)
CN (1) CN113169056A (ja)
WO (1) WO2020106649A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
US20220277961A1 (en) * 2021-02-26 2022-09-01 Applied Materials, Inc. Low Resistivity Metal Contact Stack
US11869806B2 (en) 2021-05-07 2024-01-09 Applied Materials, Inc. Methods of forming molybdenum contacts
US20230290679A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Tungsten molybdenum structures

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US9076843B2 (en) * 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
JP2005026380A (ja) * 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
ATE480873T1 (de) * 2005-12-20 2010-09-15 Nxp Bv Vertikale phasenwechsel-speicherzelle und herstellungsverfahren dafür
US7910907B2 (en) * 2006-03-15 2011-03-22 Macronix International Co., Ltd. Manufacturing method for pipe-shaped electrode phase change memory
US20070232015A1 (en) * 2006-04-04 2007-10-04 Jun Liu Contact for memory cell
JP4267013B2 (ja) * 2006-09-12 2009-05-27 エルピーダメモリ株式会社 半導体装置の製造方法
KR100873890B1 (ko) * 2006-11-17 2008-12-15 삼성전자주식회사 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법
TWI324823B (en) * 2007-02-16 2010-05-11 Ind Tech Res Inst Memory device and fabrications thereof
KR100883412B1 (ko) * 2007-05-09 2009-02-11 삼성전자주식회사 자기 정렬된 전극을 갖는 상전이 메모리소자의 제조방법,관련된 소자 및 전자시스템
US7655567B1 (en) * 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
TWI449170B (zh) * 2009-12-29 2014-08-11 Ind Tech Res Inst 相變化記憶體裝置及其製造方法
US8227785B2 (en) * 2010-11-11 2012-07-24 Micron Technology, Inc. Chalcogenide containing semiconductors with chalcogenide gradient
KR101817158B1 (ko) * 2011-06-02 2018-01-11 삼성전자 주식회사 적층형 캐패시터를 포함하는 상변화 메모리 장치
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9627611B2 (en) * 2012-11-21 2017-04-18 Micron Technology, Inc. Methods for forming narrow vertical pillars and integrated circuit devices having the same
US11549181B2 (en) * 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9548266B2 (en) * 2014-08-27 2017-01-17 Nxp Usa, Inc. Semiconductor package with embedded capacitor and methods of manufacturing same
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US20170062714A1 (en) * 2015-08-31 2017-03-02 Intel Corporation Thermally regulated electronic devices, systems, and associated methods
US9659998B1 (en) * 2016-06-07 2017-05-23 Macronix International Co., Ltd. Memory having an interlayer insulating structure with different thermal resistance
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9899372B1 (en) * 2016-10-31 2018-02-20 International Business Machines Corporation Forming on-chip metal-insulator-semiconductor capacitor
US10453744B2 (en) * 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10510590B2 (en) * 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10381411B2 (en) * 2017-12-15 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing conformal wrap around phase change material and method of manufacturing the same
US20220044929A1 (en) * 2018-05-22 2022-02-10 Versum Patents Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10505111B1 (en) * 2018-07-20 2019-12-10 International Business Machines Corporation Confined phase change memory with double air gap
US10510951B1 (en) * 2018-10-24 2019-12-17 Taiwan Semicondutor Manufacturing Co., Ltd. Low temperature film for PCRAM sidewall protection
US11362277B2 (en) * 2018-11-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall protection for PCRAM device
US10763432B2 (en) * 2018-12-13 2020-09-01 Intel Corporation Chalcogenide-based memory architecture
US10903273B2 (en) * 2019-01-04 2021-01-26 International Business Machines Corporation Phase change memory with gradual conductance change
US11145690B2 (en) * 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof

Also Published As

Publication number Publication date
JP2022509621A (ja) 2022-01-21
CN113169056A (zh) 2021-07-23
WO2020106649A1 (en) 2020-05-28
US20220359211A1 (en) 2022-11-10
US20220013365A1 (en) 2022-01-13
KR20210081436A (ko) 2021-07-01
KR20220129105A (ko) 2022-09-22

Similar Documents

Publication Publication Date Title
CN110731003B (zh) 含钼的低电阻率的膜
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
JP6799903B2 (ja) 基板上にタングステンを堆積する方法およびその装置
JP2022180423A (ja) タングステン用モリブデンテンプレート
KR20210027507A (ko) 순수 금속 막의 증착
CN111357083A (zh) 自限制生长
KR20160140458A (ko) 저 불소 함량을 가진 텅스텐 막들
KR20150072377A (ko) 매우 낮은 저항률의 텅스텐을 증착하는 방법
US20210313183A1 (en) Multi-layer feature fill
US11970776B2 (en) Atomic layer deposition of metal films
JP2023527774A (ja) 低抵抗率の接点および相互接続
TW202317798A (zh) 鉬的沉積
TW202237880A (zh) 低電阻脈衝cvd鎢
JP2024501844A (ja) フィーチャ内でのモリブデン堆積
WO2023038905A1 (en) Process gas ramp during semiconductor processing
WO2022182590A1 (en) Non-metal incorporation in molybdenum on dielectric surfaces
TW202239998A (zh) 低電阻接觸窗及互連線

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221006

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240319