CN108735577B - 选择性沉积用于互连的wcn阻挡/粘附层 - Google Patents

选择性沉积用于互连的wcn阻挡/粘附层 Download PDF

Info

Publication number
CN108735577B
CN108735577B CN201810297865.7A CN201810297865A CN108735577B CN 108735577 B CN108735577 B CN 108735577B CN 201810297865 A CN201810297865 A CN 201810297865A CN 108735577 B CN108735577 B CN 108735577B
Authority
CN
China
Prior art keywords
feature
tungsten
wcn
thickness
carbonitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810297865.7A
Other languages
English (en)
Other versions
CN108735577A (zh
Inventor
罗郑硕
梅加·拉索德
黎照健
拉什纳·胡马雍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108735577A publication Critical patent/CN108735577A/zh
Application granted granted Critical
Publication of CN108735577B publication Critical patent/CN108735577B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Geometry (AREA)

Abstract

本发明涉及选择性沉积用于互连的WCN阻挡/粘附层。提供了形成互连(例如钴(Co)互连或钌(Ru)互连)的扩散阻挡层和粘附层的方法。该方法涉及在包括Co表面的特征的氧化物表面上选择性沉积碳氮化钨(WCN)膜。WCN在氧化物上的选择性生长允许在诸如Co‑Co界面或Co‑Ru界面之类的界面处的接触电阻的显著减小,同时在侧壁氧化物表面上保持良好的膜覆盖性、粘附性和/或阻隔性。

Description

选择性沉积用于互连的WCN阻挡/粘附层
技术领域
本发明总体上涉及半导体领域,具体涉及选择性沉积用于互连的WCN阻挡/粘附层。
背景技术
在半导体制造中,可以用导电材料填充特征。例如,铜用于后端线路(BEOL)互连。但是,铜互连对于超越7nm技术节点的制造具有挑战性。铜互连的沉积通常涉及首先沉积阻挡层。然而,当厚度缩小到2.5nm以下时保持完整性的阻挡材料尚未被确定。随着线宽达到10nm(处于5nm技术节点),阻挡层将消耗5nm的线宽和超过50%的线截面,从而对于每个超越10nm的技术节点,电阻呈指数规律增大。另外,铜具有约39nm的电子平均自由程。结果,在小的关键尺寸特征中,电子碰撞侧壁导致较少的弹性碰撞。铜互连的一种替代方案是钴。钴具有约6nm的电子平均自由程并具有低电阻率。
发明内容
本公开的一个方面涉及一种方法,其包括:提供包括特征的衬底,所述特征具有特征底部和特征侧壁,其中所述特征底部包括钴表面,并且所述特征侧壁包括氧化硅表面;以及执行原子层沉积(ALD)工艺的多个循环以沉积碳氮化钨(WCN)膜以作为所述特征侧壁的衬里,其中在所述特征侧壁的所述氧化硅表面上的WCN膜的厚度至少为所述特征底部的所述钴表面上的WCN膜的厚度的两倍。
在一些实施方式中,所述特征侧壁的所述氧化硅表面上的所述WCN膜的厚度至少为所述特征底部的所述钴表面上的所述WCN膜的厚度的五倍厚。
在一些实施方式中,所述方法还包括在执行所述ALD沉积工艺的所述多个循环之前,将所述特征暴露于含氢等离子体。在一些这样的实施方式中,所述方法还包括:在将所述特征暴露于所述含氢等离子体之后并且在执行所述ALD沉积工艺的所述多个循环之前,将所述特征暴露于含氮等离子体。
在一些实施方式中,所述方法还包括在沉积所述WCN膜之后,用金属填充所述特征,填充金属的示例为钴或钌。
在一些实施方式中,所述特征包括特征开口,并且所述衬底包括与所述特征开口相邻的场区,所述场区具有氧化硅表面。在一些这样的实施方式中,所述ALD工艺将所述WCN膜沉积在所述场区的所述氧化硅表面上。在一些实施方式中,所述场区的所述氧化硅表面上的所述WCN膜的厚度比所述特征侧壁的氧化硅表面上的所述WCN膜的厚度大不超过30%。
在一些实施方式中,所述特征侧壁上的所述WCN膜的厚度变化不大于约20%。
在一些实施方式中,所述ALD工艺的每个循环包括引入含氮有机钨化合物的脉冲以吸附在所述特征侧壁上并将所述衬底暴露于共反应物以与所吸附的所述含氮有机钨化合物反应。在一些实施方式中,将所述衬底暴露于共反应物包括将所述衬底暴露于等离子体。所述共反应物的示例包括氢(H2)和氨(NH3)中的至少一种。所述含氮有机钨化合物的示例包括钨双(烷基亚氨基)双(烷基氨基)化合物、W2(NMe2)6、乙基环戊二烯基-二羰基亚硝酰基-钨(ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten)、甲基环戊二烯基-二羰基亚硝酰基-钨(methylcyclopentadienyl-dicarbonylnitrosyl-tungsten)和乙基环戊二烯基-三羰基氢化钨(ethylcyclopentadienyl-tricarbonylhydridotungsten)。
在一些实施方式中,所述WCN膜具有介于50(原子)%和80(原子)%之间的钨、介于3(原子)%和35(原子)%之间的碳(C)以及介于1(原子)%和45(原子)%之间的氮(N)。
本公开的另一个方面涉及一种方法,该方法包括:提供包括暴露的钴表面和周围电介质表面的部分制造的半导体器件到反应器;依次将气相含氮有机钨前体和还原剂引入到所述反应器中;以及在使得碳氮化钨膜选择性沉积在所述暴露的钴表面上的条件下,将所述部分制造的半导体器件暴露于所述气相含氮有机钨前体和还原剂。
具体而言,本发明的一些方面可以阐述如下:
1.一种方法,其包括:
提供包括特征的衬底,所述特征具有特征底部和特征侧壁,其中所述特征底部包括钴表面,并且所述特征侧壁包括氧化硅或氮化硅表面;以及
执行原子层沉积(ALD)工艺的多个循环以沉积碳氮化钨(WCN)膜以作为所述特征侧壁的衬里,其中在所述特征侧壁的所述氧化硅或氮化硅表面上的所述WCN膜的厚度至少为所述特征底部的所述钴表面上的所述WCN膜的厚度的两倍。
2.根据条款1所述的方法,其中所述特征侧壁的所述氧化硅或氮化硅表面上的所述WCN膜的厚度至少为所述特征底部的所述钴表面上的所述WCN膜的厚度的五倍厚。
3.根据条款1所述的方法,其还包括在执行所述ALD沉积工艺的所述多个循环之前,将所述特征暴露于含氢等离子体。
4.根据条款3所述的方法,其还包括:在将所述特征暴露于所述含氢等离子体之后并且在执行所述ALD沉积工艺的所述多个循环之前,将所述特征暴露于含氮等离子体。
5.根据条款1所述的方法,其还包括在沉积所述WCN膜之后,用钴或钌填充所述特征。
6.根据条款1所述的方法,其中所述特征包括特征开口,并且所述衬底包括与所述特征开口相邻的场区,所述场区具有氧化硅或氮化硅表面。
7.根据条款6所述的方法,其中所述ALD工艺将所述WCN膜沉积在所述场区的所述氧化硅或氮化硅表面上。
8.根据条款7所述的方法,其中所述场区的所述氧化硅或氮化硅表面上的所述WCN膜的厚度比所述特征侧壁的氧化硅或氮化硅表面上的所述WCN膜的厚度大不超过30%。
9.根据条款1所述的方法,其中所述特征侧壁上的所述WCN膜的厚度变化不大于约20%。
10.根据条款1所述的方法,其中,所述ALD工艺的每个循环包括引入含氮有机钨化合物的脉冲以吸附在所述特征侧壁上并将所述衬底暴露于共反应物以与所吸附的所述含氮有机钨化合物反应。
11.根据条款10所述的方法,其中将所述衬底暴露于共反应物包括将所述衬底暴露于等离子体。
12.根据条款10所述的方法,其中所述共反应物是H2和NH3中的至少一种。
13.根据条款10所述的方法,其中所述含氮有机钨化合物为钨双(烷基亚氨基)双(烷基氨基)化合物。
14.根据条款10所述的方法,其中所述有机钨化合物选自:W2(NMe2)6、乙基环戊二烯基-二羰基亚硝酰基-钨、甲基环戊二烯基-二羰基亚硝酰基-钨和乙基环戊二烯基-三羰基氢化钨。
15.根据条款1所述的方法,其中所述WCN膜具有介于50(原子)%和80(原子)%的钨(W)之间的钨、介于3(原子)%和35(原子)%之间的碳(C)以及介于1(原子)%和45(原子)%之间的氮(N)。
16.一种方法,其包括:
提供包括暴露的钴表面和周围电介质表面的部分制造的半导体器件到反应器;
依次将气相含氮有机钨前体和还原剂引入到所述反应器中;以及
在使得碳氮化钨膜选择性沉积在所述暴露的钴表面上的条件下,将所述部分制造的半导体器件暴露于所述气相含氮有机钨前体和还原剂。
17.根据条款16所述的方法,其中所述周围电介质表面是氧化硅或氮化硅表面。
这些和其它方面将在下面参照相关附图进行说明。
附图说明
图1示出了根据多种实施方式的碳氮化钨(WCN)阻挡层上的钴(Co)互连的一示例。
图2示出了根据多种实施方式的形成Co互连的方法的示例。
图3示出了在图2的方法的特定操作之后图案化特征的横截面示意图的示例。
图4示出了WCN在氮化钛(TiN)、二氧化硅(SiO2)、钨(W)和Co表面上的ALD生长与ALD循环次数的函数关系的示例。
图5示出了WCN在具有不同的预处理等离子体组成的Co表面上的ALD生长以及WCN在氧化物上的ALD生长与ALD循环次数的函数关系的示例。
图6示出了根据多种实施方式的通过ALD选择性沉积WCN层的方法的实例。
图7A示出了假定对于示例性的沉积不存在成核延迟的目标氧化硅/WCN/Co膜的叠层。
图7B示出了显示图7A的示例性沉积的结果的扫描电子显微镜(SEM)图像。该图像表明WCN上的显著成核延迟,并且对天然氧化硅有很小或没有延迟。
图8是用于执行某些公开的实施方式的示例性处理室的示意图。
图9是用于执行某些公开的实施方式的示例性处理工具的示意图。
具体实施方式
提供了形成用于钴(Co)互连的扩散阻挡层的方法。该方法涉及在包括Co表面的特征的氧化物或氮化物表面上选择性沉积碳氮化钨(WCN)膜。WCN在氧化物或氮化物上的选择性生长使得Co-Co界面或其他Co-金属界面处的接触电阻显著降低,同时在侧壁氧化物或氮化物表面上保持良好的膜覆盖率和阻挡性能。
选择性ALD WCN沉积使得能在场和侧壁上实现相对较厚的膜覆盖(例如,介于5埃和20埃之间)以防止金属扩散到电介质中,但在底部上有薄的覆盖以降低接触电阻。除了扩散到氧化物之外,Co对氧化物的粘附性差。本文所述的WCN膜也可以用作Co氧化物或Co氮化物粘合层。
图1示出了根据多种实施方式的WCN阻挡层上的互连的示例。在图1的一个示例中,描绘了钴-钴金属集成方案,其中互连15a提供与Co互连15b的连接。互连15a可以是任何适当的金属,诸如Co互连、钌(Ru)互连、铱(Ir)互连或铑(Rh)互连。在某些实施方式中,互连15a是Co互连或Ru互连。
WCN层12在氧化物10和互连15a之间提供阻挡。值得注意的是,WCN层选择性地沉积在氧化物表面而不是Co表面上,使得在作为氧化物的场表面8和侧壁表面6中比在作为Co表面的底表面4上厚。这是由于下面描述的在Co表面上显示成核延迟的沉积工艺而导致,并且允许Co-Co(或Co-Ru,Co-Ir,Co-Rh等)接触电阻的降低,同时在场和侧壁上保持良好的膜覆盖。WCN膜表现出对氧化物表面的强粘附性和良好的阻挡特性。选择性是指相对于金属(如Co)表面优先在介电氧化物表面上沉积的特性。其可以被定量为沉积速率的比率或作为在一定数量的沉积循环之后沉积厚度的比率。
如本文所使用的,氧化物表面包括醇盐,诸如原硅酸四乙酯(TEOS)、氟硅酸盐玻璃(FSG)、可流动氧化物、旋涂玻璃、碳掺杂氧化物等。在一些实施方式中,氧化物表面是硅基氧化物与上面给出的示例。
在一些实施方式中,侧壁和场区表面是氮化物(例如SixNy)而不是氧化物。WCN在氮化物上沉积的选择性与在氧化物上沉积的选择性相似。氮化物可以是硅基氮化物或硅基氮氧化物。
图2示出了根据多种实施方式形成Co互连的方法200的示例。图3示出了在图2的方法的某些操作之后图案化特征的横截面示意图的示例。首先,接收在图案化特征的底部上包括Co的图案化衬底。框201。在图3中示出了图案化特征的示例,其中氧化物层10形成凹陷16。该特征可以是例如蚀刻特征。该特征包括为氧化物或氮化物的侧壁表面6以及为Co的底表面4。Co表面可包括薄的Co氧化物或杂质层。如果存在,则可以在随后的预处理操作中除去Co氧化物以留下暴露的Co表面。图案化衬底还包括场表面8,场表面8是场氧化物或氮化物,典型地为氧化硅,例如掺杂或未掺杂的二氧化硅。Co互连15b或其他Co表面可以是部分制造的半导体器件的任何适当部分的一部分,包括源极/漏极(S/D)连接,线路中间(MOL)结构或线路后端(BEOL)结构。它可以通过任何适当的方法形成,包括电镀、原子层沉积(ALD)、化学气相沉积(ALD)或物理气相沉积(PVD)技术(如溅射)。
回到图2,执行可选的预处理操作。框203,如下所述,可以调整预处理以调整随后的WCN沉积的选择性。接下来,执行选择性WCN沉积以在图案化特征上形成衬里层。框205。选择性WCN沉积是指相对于Co表面对氧化物或氮化物表面具有选择性的沉积。这样,形成在氧化物或氮化物表面上的衬里层的部分比形成在Co表面上的部分更厚。参见图3,其提供了在凹陷16中选择性沉积WCN层12的示例。WCN层12在侧壁表面6上比在底表面4上厚。
如上所述,可以使用预处理来调整选择性。因此,Co表面上的WCN衬里层的厚度可以从小于整个层(例如不连续层或不沉积)到氧化物或氮化物表面上的厚度的80%。尽管WCN衬里层的厚度根据其所沉积的表面而变化,但WCN层的其他特征可以在于具有良好的阶梯覆盖率。为了本说明书的目的,“阶梯覆盖率”被定义为场表面(例如,图1中的场表面8)上的厚度与侧壁(例如,图1中的侧壁表面6)上的厚度之间的比率。如下文所述,在氧化物或氮化物表面上的沉积是共形的,使得阶梯覆盖率为约1:1,例如约1至1.3:1。可以在特征底部附近测量侧壁厚度,例如在对应于从场表面到底表面测量的特征深度的80%的位置处测量侧壁厚度。沉积的特征还可以在于在侧壁上具有均匀的厚度。例如,厚度可以在侧壁上仅变化不超过20%、10%或5%。框205中的选择性沉积在下面进一步描述,并涉及使用有机金属钨前体的原子层沉积(ALD)工艺。
一旦形成WCN衬里层,就可以进行间隙填充。框207。间隙填充涉及诸如Co、Ru、Ir或Rh之类的填充金属的沉积或电镀。在一些实施方式中,在间隙填充(例如,Co间隙填充)之前,在一些实施方式中可以在WCN衬里层上沉积籽晶层(例如,Co籽晶层)。间隙填充可以涉及任何适当的沉积方法,例如化学气相沉积(CVD)或电镀工艺。
如所指出的,WCN表现出相对于Co在氧化物或氮化物电介质层上的选择性沉积。图4示出了WCN在氮化钛(TiN)、二氧化硅(SiO2)、钨(W)和Co表面上的ALD生长与ALD循环次数的函数关系。在ALD沉积之前,将TiN、W和Co表面暴露于含氢等离子体以减少存在的任何表面金属氧化物。可以将SiO2WCN生长线与TiN、W和Co WCN生长线中的每一个进行比较,以比较ALD WCN生长在SiO2上相对于在TiN、W和Co上的相对选择性。将SiO2(圆)与TiN(正方形)比较显示WCN没有相对于TiN选择性沉积在SiO2上;WCN的ALD沉积在TiN和SiO2上大致相同,并且在TiN上甚至稍快。将SiO2(圆)与W(菱形)比较表明,WCN相对于W稍有选择性地沉积在SiO2上;例如在60次循环时,在SiO2上沉积大约34埃而在W上沉积大约24埃。然而,比较SiO2(圆形)和Co(三角形)显示出显著更高的SiO2选择性,60次循环后仅沉积约9埃。这使得在包括氧化物和Co表面的特征上的WCN ALD沉积,能以独特的方式用于形成低电阻的Co-Co(或Co-Ru等)接触电阻,同时表现出对氧化物表面的强粘附性和良好的阻挡特性。
再参照图2,在一些实施方式中,可以在WCN在氧化物或氮化物上选择性沉积之前采用预处理。参见框203。可以使用预处理来调整Co表面上的成核延迟,从而调整选择性。特别地,如图5所示,用不同的等离子体组成预处理导致Co表面上不同的成核延迟,使得能实现在氧化物场区域和特征侧壁和Co特征底部之间的选择性WCN生长可控性。图5显示了具有不同的预处理等离子体组成的Co表面上WCN的ALD生长和WCN在氧化物上的ALD生长与ALD循环次数的函数关系的示例。
将在用含氢等离子体预处理的Co上的WCN生长线(菱形)与在氧化物上的WCN生长线(圆圈)比较,可以看出含氢等离子体预处理导致最大的选择性。如上所述,在一些实施方式中,可以使用含氢预处理来还原在Co表面上形成的任何Co氧化物。没有预处理(三角形)导致较低的选择性,但仍然是选择性沉积,而含氮预处理(正方形)导致几乎消除选择性。含氢等离子体由氢气(H2)产生,并且含氮等离子体由氮气(N2)产生。
预处理如果进行,则可以是等离子体处理,或者在一些实施方式中是热处理。如果进行等离子体处理,则可能是远程等离子体或原位等离子体。原位等离子体是指在容纳衬底的室中产生的等离子体,通常在衬底和产生的等离子体之间没有插入过滤器,并且可以包括离子和自由基。远程等离子体是指远离衬底产生的等离子体。它可以在圆顶或其他空间中产生,该圆顶或其他空间是衬底所在室的一部分或与之相连,或者位于单独的独立单元中。喷头或其他过滤器通常介于发生器和衬底之间。在一些实施方式中,远程等离子体仅包含自由基或其他中性物质,而不含离子。
可以供给等离子体发生器以产生等离子体的气体可以包括N2、H2和/或这些气体的混合物以调节选择性。此外,如上所述,含氢等离子体可用作预处理以还原钴氧化物。界面处Co氧化物的存在会导致不希望的电阻增加。参考图5,没有预处理(三角形)的在Co上的WCN生长线是选择性的,但不如经含氢等离子体预处理(菱形)那样具有选择性。但是,如果存在Co氧化物并且需要该中等水平的选择性,则可以采用包括氢气(以还原氧化物)和氮气(以减轻氢气预处理的影响)的预处理。在这种情况下,可以将含氢气体和含氮气体混合并引入等离子体发生器,使得衬底暴露于含氢和含氮的等离子体,或含氢等离子体暴露后可以跟随含氮等离子体暴露。其他合适的序列可以用于多步预处理。除了N2和H2之外,可以使用诸如氨(NH3)或(N2H2)之类的气体来还原Co氧化物和/或调节后续沉积操作中的选择性。氩气(Ar)等惰性气体可能存在于等离子体中。任何氧化气体如氧气(O2)或含氧气体都可避免,以防止形成氧化钴。在一些实施方式中,偏置可施加到衬底以促进带电等离子体物质到达特征的底部以处理Co表面。在一些实施方式中,预处理可以是热处理而不是等离子体处理。
选择性沉积可以与预处理(如果执行)在相同或不同的室中执行。如果在不同的室中,两个室可能处于共同的真空下以在转移期间避免暴露于空气并且避免可能的氧化物形成。在ALD方法中,衬底可以循环暴露,使得衬底首先暴露于合适的WCN前体的脉冲,然后清扫前体,然后衬底暴露于含有共反应物的等离子体脉冲,然后清扫共反应物,并且可以重复这样的循环,直到在氧化物或氮化物上形成期望厚度的WCN。衬底温度可以在约100℃和约450℃之间,或者在约250℃和约400℃之间。图6展示根据各种实施方式的通过ALD选择性沉积WCN层的方法600的示例。在一些实施方式中,方法600可以在图2的框205期间执行。
首先,给WCN前体施以脉冲。框601。WCN前体可以被吸附到衬底的表面上,包括吸附在包括Co和氧化物或氮化物表面的图案化特征上。WCN前体可以是含氮的有机钨化合物,例如,含有N-取代的氨基和/或亚氨基的有机钨化合物。沉积膜中的钨、碳和氮由WCN前体提供。在需要更高氮浓度的情况下,可以通过使用含氮还原剂如氨(NH3)来供应额外的氮。在具体的实施方式中,使用钨双(烷基亚氨基)双(烷基氨基)化合物来选择性沉积WNx膜。这样的化合物具有以下结构:
根据多种实施方式,每个R可以独立地选自甲基、乙基、丙基、丁基和叔丁基。这些基团可以被取代或未被取代,但通常是未被取代的。在一个具体实施方式中,氮化钨前体是具有以下结构的双(叔丁基亚氨基)双(二甲基氨基)钨(W[N(C4H9)]2[N(CH3)2]2
应该注意的是,这些亚氨基(imino group)和氨基(amino group)在多种出版物中也被称为酰亚胺基(imido group)和酰胺基(amido group),这些出版物包括以下参考出版物中的一些。就本说明书而言,酰亚胺基和亚氨基用于指=NR基团,酰胺基和氨基用于指-NR2基团。使用双(叔丁基亚氨基)双(二甲基氨基)的氮化钨的非选择性沉积描述于以下出版物:“Highly Conformal Thin Films of Tungsten Nitride Prepared by AtomicLayer Deposition from a Novel Precursor,”Becker et al.,Chem.Mater.,2003,15,2969-2976和“Diffusion barrier properties of tungsten nitride films grown byatomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungstenand ammonia,”Becker et al.,Applied Physics Letters,2003,82(14),2239-2241,其在此引入作为参考。使用双(叔丁基酰亚氨基)双(叔丁基酰氨基)钨的氮化钨的非选择性沉积描述于“Kinetic and Mechanistic Studies of the Chemical Vapor Deposition ofTungsten Nitride from Bis(Tertbutylimido)Bis(Tertbutylamido)tungsten,”Craneet al.,J.Phys.Chem.B 2001,105,3549-3556和“Tungsten nitride thin filmsprepared by MOCVD,”J.Mater.Res.,8(6),June 1993,1353-1360中。这些参考文献通过引用并入。其他示例包括可从Praxair获得的W2(NMe2)6、乙基环戊二烯基-二羰基亚硝酰基-钨(EDNOW)、甲基环戊二烯基-二羰基亚硝酰基-钨(MDNOW)和乙基环戊二烯基-三羰基氢化钨(ETHW)。接下来,可以执行清扫操作。框603。清扫操作可以涉及使Ar或其他惰性气体流动并且可以导致过量(未被吸附)的WCN前体从室移除。在一些实施方式中,清扫可以不被执行。
然后将衬底暴露于共反应物。框605。在一些实施方式中,这是还原等离子体的形式。可用于产生等离子体的还原剂的示例包括H2和NH3。其他还原剂可以使用,包括N2H2。在一些实施方式中,H2和NH3两者一起使用或顺序使用。在一些实施方式中,还原剂被脉冲化并且等离子体被脉冲定时点燃。在一些实施方式中,还原剂(或多种还原剂)可以在等离子体周期性点燃的整个过程中流动。等离子体可以是电感耦合等离子体(ICP)或电容耦合等离子体(CCP),并且可以是直接等离子体或远程等离子体。在一些实施方式中,使用热(非等离子体)ALD工艺。在这样的实施方案中,除了上述还原剂之外,还可以使用其他还原剂,例如硼烷、硅烷和锗烷。在多种实施方式中,使用载气例如Ar或N2将WCN前体和/或还原剂引入室中。在一些实施方式中,可以使用含氮气体(例如N2)将氮掺入膜中。这种气体可以与一种或多种还原剂脉冲,或作为附加脉冲。
共反应物与吸附的WCN前体反应以形成WCN膜。WCN膜组合物可以变化,例如具有50(原子)%至80(原子)%的W,3(原子)%至35(原子)%的碳,和1(原子)%至45%(原子)的氮。在替代实施方式中,可存在小于1%(原子)氮,使得沉积的阻挡膜是碳化钨(WC)膜而不是WCN膜。
回到图6,再次清扫室。框607。这可以在等离子体(如果使用的话)被熄灭之后进行并且可以除去多余的反应物和副产物。在一些实施方式中,清扫可以不被执行。操作601-607可形成沉积循环或其部分,其相对于Co选择性地将WCN沉积在氧化物或氮化物上。操作601-607可依次重复,直到WCN膜完全生长。框609。对于10纳米特征开口,例如,在该特征的侧壁和场区域上可以有10埃的膜,并且在特征底部上可以有0埃和5埃之间的膜。在一些实施方式中,WCN在氧化物或氮化物上的厚度与在Co上的厚度之比可以是至少2:1,至少3:1,至少5:1,或至少10:1。
如上所述,WCN是良好的扩散阻挡层以防止Co扩散进入氧化物。平面电容器(Pcap)结构被用于测试WCN作为扩散阻挡层。下面的表1显示了两个晶片的pCAP测试膜叠层,其中晶片1具有1nm的WCN阻挡层并且晶片2具有2nm的WCN阻挡层。WCN膜约为55%的W、25%的N和20%的C。双(叔丁基亚氨基)双(二甲基氨基)用作WCN前体,其中H2和/或NH3作为共反应物。
表1
测试结果示于下表2中。
表2
k值 +VBD –VBD
晶片1 4.26±0.05 39.58±0.38 -39.17±0.40
晶片2 4.22±0.06 39.12±0.44 -38.85±0.85
对于1nm WCN和2nm WCN观察到类似的k值和类似的+VBD和-VBD。没有观察到因Co通过WCN扩散到氧化物中而导致的早期分解。这表明1纳米的WCN是Co进入氧化物的良好扩散阻挡层。
WCN还表现出对氧化物的强大粘附力。下表3显示了各种TEOS/WCN/Co籽晶/电镀Co叠层的废带粘附测试的结果。
表3
即使在400nm的Co镀层之后,10埃的WCN也表现出与氧化物的强粘附性。
如上面关于图4和5所示,可以进行ALD WCN,使得在Co上存在显著的成核延迟。为了证明WCN在氧化物上相对于在Co上的选择性,3个循环的30埃ALD WCN(靶)和40埃CVD Co在硅衬底的原生氧化物上形成。假设没有成核延迟的目标厚度如图7A所示。
图7B示出了显示图7A的示例性沉积的结果的扫描电子显微镜(SEM)图像。该图像表明在WCN上显著的成核延迟,并且对天然氧化硅的延迟很小或没有延迟。图像显示在自然氧化物上27埃的WCN和在WCN层上的130埃的CVD Co,在Co层中没有或仅有非常薄且不能观察到的WCN层。
装置
图8绘出了具有用于保持低压环境的处理室802的ALD处理站800的一个实施方式的示意图。多个ALD处理站可以包含在通常低压处理工具环境中。例如,图9绘出了多站式处理工具900的一个实施方式。在一些实施方式中,ALD处理站800的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器850以编程方式调节。
ALD处理站800与反应物输送系统801a流体连通,以将处理气体输送至分配喷头806。反应物输送系统801a包含混合容器804,混合容器804用于混合和/或调节输送至喷头806的处理气体,如含WCN前体气体、含氢气体、或含氮气体。一个或一个以上的混合容器入口阀820可以对处理气体导入至混合容器804进行控制。在多种实施方式中,在处理站800中执行WCN膜的选择性沉积,并且在一些实施方式中,诸如预处理、Co籽晶层沉积或Co间隙填充的其它操作可以在如以下参照图9进一步描述的多站式处理工具900中的相同站或另一个站中进行。
举例而言,图8的实施方式包含汽化点803,其用于汽化将供应至混合容器804的液体反应物。在一些实施方式中,汽化点803可以是加热的蒸发器。在一些实施方式中,液体前体或液体反应物可以在液体喷射器(未示出)处被汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器804上游的载气流中。在一个实施方式中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点803下游的管道长度。在一个方案中,液体喷射器可以直接安装到混合容器804。在另一个方案中,液体喷射器可以直接安装到喷头806。
在一些实施方式中,可以在汽化点803上游设置液体流控制器(LFC)来控制用于汽化并输送至处理室802的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以花费一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头806朝衬底812分配处理气体。在图8所示的实施方式中,衬底812位于喷头806下方,并且示出为安置在基座808上。喷头806可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底812。
在一些实施方式中,基座808可以升高或降低以将衬底812暴露到衬底812和喷头806之间的体积。在一些实施方式中,基座808可以通过加热器810进行温度控制。基座808可以在用于执行各种公开的实施方式的操作期间被设置为任何合适的温度,诸如在约25℃和约650℃之间的温度。应理解的是,在一些实施方式中,基座高度可以经由合适的计算机控制器850通过编程方式进行调节。在处理阶段结束时,基座808可以在另一衬底转移阶段期间降低以允许从基座808移除衬底812。
在一些实施方式中,喷头806的位置可以相对于基座808调节以改变衬底812和喷头806之间的体积。此外,应当理解的是,基座808和/或喷头806的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,基座808可包含用于旋转衬底812的方位的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器850以编程方式执行。计算机控制器850可以包括关于图9的控制器950在下面描述的任何特征。
在如上所述可以使用等离子体的一些实施方式中,喷头806和基座808电连接射频(RF)功率源814和匹配网络816来对等离子体提供功率。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源814和匹配网络816可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。类似地,RF功率源814可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源814可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和900kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于80MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器850的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置惰性气体和/或反应气体(例如,第一前体,如WCN前体)的流率的指令、用于设定载气(例如氩气)的流率的指令、以及用于第一配方阶段的时间延迟指令。接下来的第二配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包含用于调节第二反应物气体(例如H2或NH3)的流率的指令、用于调节载气或者清扫气体的流率的指令、用于点燃等离子体的指令、以及用于第三配方阶段的时间延迟指令。随后的第四配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时间延迟指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。
此外,在一些实施方式中,用于处理站800的压力控制可由蝶阀818提供。如图8的实施例所示,蝶阀818节流由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理站800的压力控制也可以通过改变引入到处理站800的一种或多种气体的流率来调节。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图9示出了多站式处理工具900的一个实施方式的概要视图,所述处理工具900具有入站装载锁902和出站装载锁904,两者之一或者该两者可以包含远程等离子体源(未示出)。处于大气压的机械手906被配置为将晶片从通过舱908装载的盒经由大气端口910移动至入站装载锁902内。晶片(未示出)由机械手906放置在入站装载锁902中的基座912上,关闭大气端口910,且抽空入站装载锁902。当入站装载锁902包含远程等离子体源时,晶片在被引入处理室914之前,可以暴露于入站装载锁902中的远程等离子体处理。此外,晶片另外也可以在入站装载锁902中加热,例如以移除湿气和吸附的气体。接下来,通向处理室914的室传输端口916被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图9中绘出的实施方式包含装载锁,但应该理解的是,在一些实施方式中,可以使衬底直接进入处理站。
绘出的处理室914包含4个处理站,在图9所示的实施方式中编号为1至4。每个站具有加热的基座(对于站1示出为918)和气体管线入口。应该理解的是,在一些实施方式中,每个处理站可以具有不同或者多个用途。例如,在一些实施方式中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。在一些实施方式中,暴露于沉积前体并暴露于第二反应物和等离子体在相同的站中进行。附加地或替代地,在一些实施方式中,处理室914可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。此外,暴露于预处理气体或等离子体和ALD处理可以发生在相同或不同的站。尽管绘出的处理室914包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或5个以上的站,而在其它实施方式中,处理室可以具有3个或者更少的站。
图9绘出了用于在处理室914内传输晶片的晶片搬运系统990的一个实施方式。在一些实施方式中,晶片搬运系统990可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图9还绘出了采用来控制处理工具900的工艺条件和硬件状态的系统控制器950的一个实施方式。系统控制器950可以包含一个或多个存储器设备956、一个或多个海量存储设备954和一个或多个处理器952。处理器952可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器950控制处理工具900的所有活动。系统控制器950执行存储在海量存储设备954、载入存储器设备956、并由处理器952执行的系统控制软件958。可替代地,控制逻辑可以在控制器950中硬编码。特定应用集成电路、可编程逻辑设备(例如,现场可编程门阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件958可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、等离子体暴露持续时间、UV辐射持续时间、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具900执行的特定处理的其它参数的指令。系统控制软件958可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件958可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,系统控制软件958可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用与系统控制器950关联的、存储在海量存储设备954和/或存储器设备956的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座918,并控制衬底和处理工具900的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,含有机钨化合物气体、共反应物气体、用于执行预处理的气体、本文所述清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方式设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方式保持反应室内的压强的代码。
在一些实施方式中,可以存在与系统控制器950相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器950调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器950的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具900的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器950可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式操作膜叠层的原位沉积。
系统控制器950将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方式所述的方法。包含用于控制根据所公开的实施方式的处理操作的指令的机器可读的介质可以耦合到系统控制器950。
在一些实现方式中,系统控制器950是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器950可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器950可以定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器950的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器950可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器950可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器950接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器950被配置成连接或控制该工具类型。因此,如上所述,系统控制器950可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
虽然图8和9提供了可用于执行本文公开的方法的室和工具的示例,但可以进行各种修改。这些包括使用任何CCP或ICP等离子发生器或使用远程等离子发生器。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器950可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
结论
虽然上述实施方式已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方式的过程、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。

Claims (19)

1.一种方法,其包括:
提供包括特征的衬底,所述特征具有特征底部和特征侧壁,其中所述特征底部包括钴表面,并且所述特征侧壁包括氧化硅或氮化硅表面;以及
执行原子层沉积工艺的多个循环以沉积碳氮化钨膜以作为所述特征侧壁的衬里,其中在所述特征侧壁的所述氧化硅或氮化硅表面上的所述碳氮化钨膜的厚度至少为所述特征底部的所述钴表面上的所述碳氮化钨膜的厚度的两倍;并且
其还包括:
在执行所述原子层沉积工艺的所述多个循环之前,将所述特征暴露于含氢等离子体;以及
在将所述特征暴露于所述含氢等离子体之后并且在执行所述原子层沉积工艺的所述多个循环之前,将所述特征暴露于含氮等离子体。
2.根据权利要求1所述的方法,其中所述特征侧壁的所述氧化硅或氮化硅表面上的所述碳氮化钨膜的厚度至少为所述特征底部的所述钴表面上的所述碳氮化钨膜的厚度的五倍厚。
3.根据权利要求1所述的方法,其还包括在沉积所述碳氮化钨膜之后,用钴或钌填充所述特征。
4.根据权利要求1所述的方法,其中所述特征包括特征开口,并且所述衬底包括与所述特征开口相邻的场区,所述场区具有氧化硅或氮化硅表面。
5.根据权利要求4所述的方法,其中所述原子层沉积工艺将所述碳氮化钨膜沉积在所述场区的所述氧化硅或氮化硅表面上。
6.根据权利要求5所述的方法,其中所述场区的所述氧化硅或氮化硅表面上的所述碳氮化钨的厚度比所述特征侧壁的氧化硅或氮化硅表面上的所述碳氮化钨膜的厚度大不超过30%。
7.根据权利要求1所述的方法,其中所述特征侧壁上的所述碳氮化钨膜的厚度变化不大于20%。
8.根据权利要求1所述的方法,其中,所述原子层沉积工艺的每个循环包括引入含氮有机钨化合物的脉冲以吸附在所述特征侧壁上并将所述衬底暴露于共反应物以与所吸附的所述含氮有机钨化合物反应。
9.根据权利要求8所述的方法,其中将所述衬底暴露于共反应物包括将所述衬底暴露于等离子体。
10.根据权利要求8所述的方法,其中所述共反应物是H2和NH3中的至少一种。
11.根据权利要求8所述的方法,其中所述含氮有机钨化合物为钨双(烷基亚氨基)双(烷基氨基)化合物。
12.根据权利要求8所述的方法,其中所述有机钨化合物选自:
W2(NMe2)6、乙基环戊二烯基-二羰基亚硝酰基-钨、甲基环戊二烯基-二羰基亚硝酰基-钨和乙基环戊二烯基-三羰基氢化钨。
13.根据权利要求1所述的方法,其中所述碳氮化钨膜具有介于50原子%和80原子%的钨(W)之间的钨、介于3原子%和35原子%之间的碳(C)以及介于1原子%和45原子%之间的氮(N)。
14.根据权利要求2所述的方法,其中,所述原子层沉积工艺的每个循环包括引入含氮有机钨化合物的脉冲以吸附在所述特征侧壁上并将所述衬底暴露于共反应物以与所吸附的所述含氮有机钨化合物反应。
15.一种方法,其包括:
提供包括暴露的钴表面和周围电介质表面的部分制造的半导体器件到反应器;
依次将气相含氮有机钨前体和还原剂引入到所述反应器中;以及
在使得碳氮化钨膜选择性沉积在所述暴露的钴表面上的条件下,将所述部分制造的半导体器件暴露于所述气相含氮有机钨前体和还原剂,
其中所述周围电介质表面是氧化硅或氮化硅表面。
16.根据权利要求15所述的方法,其中所述氧化硅或氮化硅表面上的所述碳氮化钨膜的厚度至少为所述钴表面上的所述碳氮化钨膜的厚度的五倍厚。
17.根据权利要求15所述的方法,其中所述部分制造的半导体器件包括具有特征的衬底,其中所述特征包括特征开口,并且所述衬底包括与所述特征开口相邻的场区,所述场区具有氧化硅或氮化硅表面。
18.根据权利要求17所述的方法,其中原子层沉积工艺将所述碳氮化钨膜沉积在所述场区的所述氧化硅或氮化硅表面上。
19.根据权利要求18所述的方法,其中所述场区的所述氧化硅或氮化硅表面上的所述碳氮化钨膜的厚度比所述氧化硅或氮化硅表面上的所述碳氮化钨膜的厚度大不超过30%。
CN201810297865.7A 2017-03-30 2018-03-30 选择性沉积用于互连的wcn阻挡/粘附层 Active CN108735577B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/474,383 US10283404B2 (en) 2017-03-30 2017-03-30 Selective deposition of WCN barrier/adhesion layer for interconnect
US15/474,383 2017-03-30

Publications (2)

Publication Number Publication Date
CN108735577A CN108735577A (zh) 2018-11-02
CN108735577B true CN108735577B (zh) 2024-02-27

Family

ID=63670821

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810297865.7A Active CN108735577B (zh) 2017-03-30 2018-03-30 选择性沉积用于互连的wcn阻挡/粘附层

Country Status (4)

Country Link
US (1) US10283404B2 (zh)
KR (2) KR20180111598A (zh)
CN (1) CN108735577B (zh)
TW (1) TW201843333A (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
WO2019213604A1 (en) 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10879107B2 (en) * 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
CN113169056A (zh) 2018-11-19 2021-07-23 朗姆研究公司 用于钨的钼模板
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR102678758B1 (ko) 2019-11-06 2024-06-27 삼성전자주식회사 반도체 소자
KR20240124971A (ko) * 2021-12-13 2024-08-19 램 리써치 코포레이션 피처들 (features) 내 큰 입자 텅스텐 성장
CN114836729A (zh) * 2022-05-17 2022-08-02 合肥安德科铭半导体科技有限公司 一种功函数可调的wcn薄膜沉积方法
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012168248A1 (en) * 2011-06-06 2012-12-13 Technische Universiteit Eindhoven Plasma pre-treatment

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008117A (en) 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
US6077774A (en) 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
TW314654B (en) 1996-09-07 1997-09-01 United Microelectronics Corp Manufacturing method of conductive plug
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
KR100588665B1 (ko) * 2003-12-30 2006-06-12 동부일렉트로닉스 주식회사 반도체 소자의 장벽금속층 형성 방법
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
WO2008028053A2 (en) * 2006-08-30 2008-03-06 Wayne State University Compounds for forming metal nitrides
US7727882B1 (en) 2007-12-17 2010-06-01 Novellus Systems, Inc. Compositionally graded titanium nitride film for diffusion barrier applications
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20100227476A1 (en) * 2009-03-04 2010-09-09 Peck John D Atomic layer deposition processes
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
KR20140052763A (ko) * 2012-10-25 2014-05-07 삼성전자주식회사 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법들
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9349691B2 (en) * 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
KR102264160B1 (ko) * 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US20160163645A1 (en) * 2014-12-08 2016-06-09 Globalfoundries Inc. Semiconductor structure with bottom-free liner for top contact
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160309596A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Methods for forming cobalt interconnects
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10170358B2 (en) * 2015-06-04 2019-01-01 International Business Machines Corporation Reducing contact resistance in vias for copper interconnects
US10332790B2 (en) * 2015-06-15 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with interconnect structure
US9576852B2 (en) * 2015-06-26 2017-02-21 GlobalFoundries, Inc. Integrated circuits with self aligned contacts and methods of manufacturing the same
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9972529B2 (en) * 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
CN106611742B (zh) * 2015-10-26 2020-05-08 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US10079208B2 (en) * 2016-07-28 2018-09-18 Globalfoundries Inc. IC structure with interface liner and methods of forming same
US10541128B2 (en) * 2016-08-19 2020-01-21 International Business Machines Corporation Method for making VFET devices with ILD protection
US9786603B1 (en) * 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US10329683B2 (en) * 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012168248A1 (en) * 2011-06-06 2012-12-13 Technische Universiteit Eindhoven Plasma pre-treatment

Also Published As

Publication number Publication date
KR20180111598A (ko) 2018-10-11
US10283404B2 (en) 2019-05-07
US20180286746A1 (en) 2018-10-04
TW201843333A (zh) 2018-12-16
KR20240070485A (ko) 2024-05-21
CN108735577A (zh) 2018-11-02

Similar Documents

Publication Publication Date Title
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
US10903071B2 (en) Selective deposition of silicon oxide
CN110959186B (zh) 在互连金属化中沉积钌层
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
US9859153B1 (en) Deposition of aluminum oxide etch stop layers
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9478438B2 (en) Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
CN114342062A (zh) 钼沉积
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US11404275B2 (en) Selective deposition using hydrolysis
US20230290639A1 (en) Low resistance gate oxide metallization liner
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱
TW202340503A (zh) 特徵部中的大晶粒鎢生長

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant