TW202340503A - 特徵部中的大晶粒鎢生長 - Google Patents

特徵部中的大晶粒鎢生長 Download PDF

Info

Publication number
TW202340503A
TW202340503A TW111147590A TW111147590A TW202340503A TW 202340503 A TW202340503 A TW 202340503A TW 111147590 A TW111147590 A TW 111147590A TW 111147590 A TW111147590 A TW 111147590A TW 202340503 A TW202340503 A TW 202340503A
Authority
TW
Taiwan
Prior art keywords
tungsten
feature
growing
deposition
layer
Prior art date
Application number
TW111147590A
Other languages
English (en)
Inventor
凱文 啟文 陳
潘宇
陳 美雅 美雅 索依
艾斯特 鄭
舉文 高
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202340503A publication Critical patent/TW202340503A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

以大晶粒鎢填充一特徵部的方法包括沉積碳氮化鎢(WCN)或氮化鎢(WN)薄膜以加襯在該特徵部。該碳氮化鎢或氮化鎢薄膜可以經處理,其為隨後成長大晶粒鎢提供一模板。該特徵部的頂部經過氮處理以抑制成核,促進其自下而上的成長。在一些實施例中,單晶鎢自下而上成長。以單晶鎢至少部分填充一特徵部的方法包括該特徵部的處理。在一些實施例中,單晶鎢在該特徵部中的成長在該特徵部沒有襯墊層的情況下。

Description

特徵部中的大晶粒鎢生長
本發明係關於以鎢(W)來填充特徵部的方法。
使用化學氣相沉積(CVD)技術的鎢(W)薄膜沉積是半導體製程不可或缺的部分。例如,鎢薄膜可以用作水平互連形式的低電阻電連接、相鄰金屬層之間的通孔以及第一金屬層與矽基板上的元件之間的接觸部。在例示性鎢沉積步驟中,氮化鈦(TiN)阻擋層沉積在介電基板上,隨後沉積鎢薄膜之薄成核層。此後,鎢薄膜的剩餘部分沉積在成核層上作為主體層。
此處提供之背景說明係以一般性呈現本揭露內容之背景為目的。目前列名發明人之作品,在此先前技術章節中所述之範圍,以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,係未明示或暗示承認為對於本揭露內容之先前技術。
本揭露的一個方面關於一種方法,其包括:提供一基板,其包括具有一特徵部頂部、一特徵部底部和複數特徵部側壁之一特徵部;執行一原子層沉積(ALD)步驟的多個循環以沉積一碳氮化鎢(WCN)薄膜以加襯在該些特徵部側壁上;暴露該WCN薄膜於一氫基電漿處理;沉積一共形鎢(W)成核層在該WCN薄膜上;選擇性地抑制該共形鎢成核層靠近該特徵部頂部相對於該特徵部底部之沉積;以及以鎢填充該特徵部,其中鎢為單晶鎢。
在一些實施例中,WCN薄膜的厚度至少為3埃。在一些實施例中,共形鎢成核層的厚度至少為10埃。在一些實施例中,共形鎢成核層的厚度至少為15埃。
在一些實施例中,該方法還包括在沉積該WCN薄膜之前清潔該特徵部。
在一些實施例中,該氫基電漿處理從該WCN薄膜移除過量的碳及/或氮。
在一些實施例中,該ALD製程的每個循環包括引入一含氮有機鎢化合物之脈衝以吸附在該些特徵部側壁上及暴露該基板於一共反應物以與被吸附的該含氮有機鎢化合物進行反應。
在一些這樣的實施例中,暴露該基板於一共反應物包括暴露該基板於一電漿。
在一些這樣的實施例中,該ALD製程包括使用第一電漿功率之第一階段和使用第二電漿功率之第二階段,該第一電漿功率低於該第二電漿功率。
在一些實施例中,該共反應物為H 2和NH 3中的至少一者。
在一些實施例中,該含氮有機鎢化合物是雙(烷基亞胺基)雙(烷基胺基)鎢化合物。
在一些實施例中,該含氮有機鎢化合物選自:W 2(NMe 2) 6、乙基環戊二烯基-二羰基亞硝醯基-鎢、甲基環戊二烯基-二羰基亞硝醯基-鎢和乙基環戊二烯基-三羰基氫化鎢。
在一些實施例中,該WCN薄膜具有30至80原子百分比的鎢(W)、3至50原子百分比的碳(C)和1至60原子百分比的氮(N)。
本揭露的另一方面關於一種方法,其包括:提供一基板,其包括具有一特徵部頂部、一特徵部底部和複數特徵部側壁之一特徵部;執行一原子層沉積(ALD)步驟之多個循環以一沉積氮化鎢(WN)薄膜以加襯在該些特徵部側壁上;暴露該WN薄膜於一氫基電漿處理;沉積一共形鎢(W)成核層在該WN薄膜上;選擇性地抑制該共形鎢成核層靠近該特徵部頂部相對於該特徵部底部之沉積;以及以鎢填充該特徵部,其中鎢為單晶鎢。
本揭露的另一方面關於一種方法,其包括:提供一基板,其包括一圖案化結構,該圖案化結構包括一具有一特徵部底部和複數介電側壁之特徵部,該特徵部在該特徵部底部具有一暴露的金屬表面;暴露該金屬表面和該些介電側壁於一氫基電漿處理;以及以鎢至少部分填充至該特徵部,其中鎢為單晶鎢。
在一些實施例中,以鎢至少部分填充至該特徵部包括藉由一原子層沉積(ALD)步驟沉積主體鎢。在一些實施例中,主體鎢沉積在該特徵部中在未先形成一襯墊層或成核層的情況下。
在一些實施例中,該方法進一步包括,在以單晶鎢至少部分填充至該特徵部之後,沉積一共形附著層在該些暴露的介電側壁和單晶鎢上。在一些這樣的實施例中,該方法還包括在該共形附著層上沉積一金屬層以完全填充該特徵部。
在一些實施例中,該方法還包括在以單晶鎢至少部分填充至該特徵部之後,暴露該特徵部至一氫基電漿處理。在一些這樣的實施例中,該方法還包括完全填充該特徵部。
此處和另外的部分佐以圖式描述如下。
鎢被廣泛用作前段製程(FEOL)、中段製程(MOL)和後段製程(BEOL)特徵部(例如通孔和溝槽)中的金屬,以形成互連和線路。隨著每個節點的金屬間距變小,這些鎢互連和線路的電阻可為裝置性能中的限制因素。增加晶粒尺寸和減少特徵部內的晶粒數量會降低電阻。
此處提供了以鎢填充特徵部之方法,其允許形成大晶粒。在一些實施例中,特徵部填充有單晶鎢,其不含晶界。圖1繪示根據各種實施例之以單晶鎢填充特徵部的一示例的方法100。首先,在操作101中,接收包括圖案化特徵部的圖案化基板。圖2A中繪示一圖案化特徵部的示例,其中氧化物213的層形成有凹槽205,該特徵部可以例如是一經蝕刻的特徵部,其允許連接至下面的金屬207(例如鎢 (W)、鉬(Mo)、銅(Cu)或鈷(Co))。該特徵部包括側壁表面211(其為氧化物或氮化物)和底表面208(其為金屬207的表面)。底表面208可包括金屬氧化物或雜質的薄層。如果存在,金屬氧化物可在隨後的預處理操作中去除以留下暴露的金屬表面。圖案化基板還包括場表面206(其為氧化物或氮化物,通常是氧化矽,例如摻雜或未摻雜的二氧化矽),金屬207可以是部分製造的半導體裝置的任何合適部分的一部分,其包括後段製程(BEOL)結構、中段製程(MOL)結構或源極/汲極(S/D)連接。
回到圖1,在操作103中執行一可選的預處理操作,預處理可以是電漿或熱處理,並且可以使用一種或多種氣體,例如氫氣(H 2)、氮氣(N 2)、氨(NH 3)和氬(Ar),可單獨或組合使用。在一些實施例中,例如,可以使用由H 2/Ar產生的遠程電漿及/或由H 2/N 2產生的遠程電漿,操作103可以清潔進入的表面及/或去除金屬上的原生氧化物。
該可選的處理可以在與隨後沉積相同的腔室中執行,或者在真空下與沉積腔室相連的一腔室中進行,以防止轉移過程中的氧化。
接下來,在操作105中執行沉積以在圖案化特徵部上形成襯墊層,襯墊層同時包含鎢和氮。在一些實施例中,其為WCN或氮化鎢(WN)。在沉積WCN之實施例中,操作105在下文進一步描述並且包括使用有機金屬鎢前驅物的原子層沉積(ALD)步驟,WCN層的厚度可以低至3埃以用於模板化大晶粒鎢層。在一些實施例中,其可為更厚,例如,至少5埃或至少8埃以用於附著。在一些實施例中可以沉積更厚的層(例如10到100埃)以用於更大的特徵部,其中WCN層作為阻擋層。
另外,WCN層可以具有良好的階梯覆蓋為特徵部,就本文而言,「階梯覆蓋」定義為場表面(例如,圖2A中的場表面206)上的厚度與側壁上的厚度(例如,圖2A中的側壁表面211上)的比值。如下所述,在氧化物或氮化物表面上的沉積是共形的,使得階梯覆蓋率約為1:1,例如約1至1.3:1之範圍。側壁厚度可以在特徵部底部附近被測量,例如,在對應於從場表面至底表面測量的特徵部深度之80%的位置處。沉積的特徵也可以在於側壁上的均一厚度。例如,側壁上的厚度變化可能僅不超過20%、10%或5%。圖2B中繪示在沉積WCN層之後的圖案化特徵部的示例,其中WCN層221共形地包覆底表面208、側壁表面211和場表面206。
雖然WCN層221在側壁上的厚度通常是均一的,但底表面208上的厚度可能取決於下面的金屬207。鈷上的沉積對於介電質可以是選擇性的,使得其在鈷上更薄。在其他金屬(例如鎢)上的沉積可能對介電質具有選擇性,但程度低於鈷。
一旦形成WCN層,在操作107中對其進行處理。在一些實施例中,處理包括暴露於氫基電漿。例如,處理可能包括暴露於由H 2/Ar產生的遠程或直接電漿。在一些實施例中,該處理去除了過量的碳。在一些實施例中,該處理包括使用反應性氣體(例如鹵化鎢(例如六氟化鎢(WF 6)、六氯化鎢(WCl 6)或五氯化鎢(WCl 5)))的熱處理。在一些這樣的實施例中,可以在處理期間沉積少量金屬鎢(W)。在一些實施例中,該處理可包括高溫退火,例如,該處理可以包括將基板加熱到550°C或更高之溫度。在一些實施例中,操作107可以被省略,然而,該處理可有助於增加隨後沉積的主體鎢層的晶粒尺寸。
在操作109中,鎢(W)成核層沉積在WCN層上。在各種實施方式中,鎢成核層沉積可包括暴露於含鎢前驅物和還原劑。在一些實施方式中,含鎢前驅物之脈衝可以與一種或多種還原劑的脈衝交替,例如W/B/W/B/W/B或S+B/W/S+B/W等,其中W代表含鎢前驅物,S代表含矽還原劑,例如矽烷(SiH 4),B代表含硼還原劑,例如二硼烷(B 2H 6)。在一些實施方式中,可以不使用單獨的還原劑,例如含鎢前驅物可以進行熱分解或電漿輔助分解。成核層的示例厚度範圍5至30埃。
鎢成核層通常具有比隨後沉積的主體鎢更高的電阻率,因此成核層通常保持較薄以維持它們對整體之低電阻率的貢獻。在一些實施例中,操作109可以被省略,而在WCN層上進行隨後的主體沉積。然而,雖然主體鎢可以沉積在WCN層上,但已發現成核層的存在增加了主體層的晶粒尺寸。在一些實施例中,成核層至少為15埃以實現大晶粒尺寸。
圖2C繪示在WCN層上沉積鎢成核層之後的圖案化特徵部的示例,其中鎢成核層223共形地包覆WCN層221。
在操作111中,執行抑制處理以抑制在特徵部頂部的鎢成核層上的沉積。操作111可以是電漿或非電漿之熱處理,並且可以包括暴露特徵部的頂部於氮物質。在一個示例中,使用從含氮氣(N 2)之製程氣體產生的遠程或直接電漿,例如,可以使用N 2/H 2電漿。在另一個示例中,氨氣(NH 3)用於非電漿處理。該處理被執行使得特徵部的頂部偏向性暴露於氮物質,而特徵部的底部很少或沒有暴露於其中。根據各種實施例,該處理可以延伸到總特徵部深度之10%、20%、30%、40%、50%、60%、70%或80%。電漿功率、壓力、流速和氣體成分可用於控制處理深度。
抑制處理處理特徵部表面以抑制在經處理的表面處的隨後鎢成核。其可能包括以下一項或多項:沉積一抑制膜、抑制物質與鎢成核層反應以形成一化合物膜、及抑制物質的吸附。在隨後的沉積操作期間,在下面的薄膜之抑制部分相對於在特徵部的底部的非抑制部分或抑制程度較低的部分存在成核延遲。如果是非電漿操作,其可為純熱能或被一些其他能量(例如紫外線)激發。在一些實施例中,操作111包括暴露於金屬前驅物,其可與抑制氣體共同流動或以交替的脈衝輸送。圖2D繪示在鎢成核層之抑制處理後的圖案化特徵部的示例。成核層223包括從特徵部的頂部延伸的抑制部分223a。成核層223的剩餘部分保持未受抑制或受抑制程度較低。
一旦特徵部的頂部的鎢成核被抑制,間隙填充可以在操作113中執行。間隙填充包括在特徵部中沉積主體鎢層並且可藉由化學氣相沉積(CVD)或原子層沉積(ALD)進行。下文進一步描述主體沉積。由於成核層的抑制部分223a上的成核延遲,鎢從底表面208成長而在側壁表面上幾乎不成長。圖2E繪示主體沉積過程中的一特徵部的示例,所示之經沉積的鎢227為自下而上成長。如圖2F的示例所示,主體沉積繼續進行直到特徵部被鎢填滿。
操作103、操作105、操作107和操作111的實施方式都有助於在圖案化通孔和溝槽中誘導大晶粒成長。對於通孔特徵部,可以成長單晶鎢,單晶成長可以在橫向尺寸高達約100 nm的特徵部中被實現。
WCN層的沉積可以在與預處理(如果有執行的情況)相同或不同的腔室中進行。如果在不同的腔室中,兩個腔室可以在共同的真空下以避免在轉移過程中暴露於空氣和可能形成氧化物。在ALD方法中,基板可在多個循環中暴露,使得基板首先暴露於合適的WCN前驅物的脈衝,然後清除前驅物,然後基板暴露於含有共反應物的電漿脈衝,然後清除共反應物,並且所述循環可重複直到在特徵部中形成WCN的期望厚度。基板溫度可介於約100℃至約450℃之間,或介於約250℃至約400℃之間。圖3繪示根據各種實施例藉由ALD選擇性地沉積WCN層之一示例方法300。在一些實施例中,方法300可以在圖1的區塊105過程中執行。
首先,在操作301中脈衝WCN前驅物,WCN前驅物可以被吸附至基板的表面上,包括在圖案化的特徵部上,該特徵部包括特徵部的底部的金屬表面和側壁的氧化物或氮化物表面。WCN前驅物可以是含氮有機鎢化合物,例如含氮原子取代之胺基及/或亞胺基的有機鎢化合物,經沉積的薄膜中的鎢、碳和氮係由WCN前驅物提供。在較高氮濃度為理想的情況下,可以藉由使用含氮還原劑(例如氨氣(NH 3))以提供額外的氮。在具體實施例中,雙(烷基亞胺基)雙(烷基胺基)鎢化合物用於沉積WCN薄膜。所述化合物具有以下結構:
根據各種實施例,每個R可獨立地選自甲基、乙基、丙基、丁基和叔丁基。這些基團可以是取代的或未取代的,但通常是未取代的。在具體實施例中,氮化鎢前驅物為雙(叔丁基亞胺基)雙(二甲基胺基)鎢(bis(tert-butylimino) bis(dimethylamino) tungsten, W[N(C 4H 9)] 2[N(CH 3) 2] 2),其具有以下結構: 應注意的是,這些亞胺基(imino)和胺基(amino)在各種出版物中也稱為亞胺基(imido)和胺基(amido),包括以下某些參考出版物。就本說明書而言,亞胺基(imino)和亞胺基(imido)用於代表=NR基團,胺基(amino)和胺基(amido)用於代表–NR 2基團。以下出版物中描述使用雙(叔丁基亞胺基)雙(二甲基胺基)鎢的氮化鎢的非選擇性沉積:「Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor」, Becker et al., Chem. Mater., 2003, 15, 2969-2976和「Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido)tungsten and ammonia」, Becker et al., Applied Physics Letters, 2003, 82(14), 2239-2241,其藉由引用併入於此。使用雙(叔丁基亞胺基)雙(叔丁基胺基)鎢的氮化鎢之非選擇性沉積在「Kinetic and Mechanistic Studies of the Chemical Vapor Deposition of Tungsten Nitride from Bis(Tertbutylimido)Bis(Tertbutylamido) tungsten」, Crane et al., J. Phys. Chem. B2001, 105, 3549-3556和「Tungsten nitride thin films prepared by MOCVD」, J. Mater. Res., 8(6), June 1993, 1353-1360中進行描述,這些參考文獻藉由引用併入。其他示例包括W 2(NMe 2) 6、乙基環戊二烯基-二羰基亞硝醯基-鎢 (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten, EDNOW)、甲基環戊二烯基-二羰基亞硝醯基-鎢(methylcyclopentadienyl-dicarbonylnitrosyl-tungsten, MDNOW)和乙基環戊二烯基-三羰基氫化鎢(ethylcyclopentadienyl-tricarbonylhydridotungsten, ETHW),可從Praxair獲得。接下來,可以在操作303中執行淨化操作,淨化操作可包括流動Ar或其他惰性氣體並且可以導致過量的(未吸附的)WCN前驅物從腔室中移除。在一些實施例中,可以不執行清除。
然後在操作305中將基板暴露於共反應物。在一些實施例中,這是以還原電漿的形式。可用於產生電漿的還原劑的示例包括H 2和NH 3。其他可使用的還原劑包括N 2H 2。在一些實施例中,同時使用H 2和NH 3,以同時或依序之方式。在一些實施例中,還原劑被脈衝化,並且電漿以該脈衝定時地點燃。在一些實施例中,還原劑(或多種還原劑)可以在電漿週期性地點燃的情況下在整個過程流動。電漿可以是感應耦合電漿(ICP)或電容耦合電漿(CCP),其可為直接電漿或遠程電漿。在一些實施例中,熱(非電漿)ALD製程被使用。在所述實施例中,除了上述還原劑之外,可使用其他還原劑,例如硼烷、矽烷和鍺烷。在各種實施例中,使用諸如Ar或N 2的載氣將WCN前驅物及/或還原劑導入腔室中。在一些實施例中,含氮氣體(例如N 2)可用於將氮原子混入薄膜中。所述氣體可以用一種或多種還原劑加以脈衝,或作為附加脈衝。
共反應物與經吸附的WCN前驅物反應以形成WCN薄膜。WCN薄膜成分可以不同,例如,30至80原子百分比的鎢、3至50原子百分比的碳和1至60原子百分比的氮。在替代實施例中,可以存在小於1原子百分比的氮,使得經沉積的阻擋薄膜為碳化鎢(WC)薄膜而不是WCN薄膜。在替代實施例中,可以存在小於1原子百分比的碳,使得經沉積的阻擋薄膜為氮化鎢(WN或WN 2)薄膜。
回到圖3,在操作307中再次淨化(purge)腔室。這可以在電漿(如果有使用的話)被熄滅之後執行並且可以移除過量的反應物和副產物。在一些實施例中,淨化(purge)可不被執行。操作301-307可形成在特徵部中沉積WCN襯墊層的沉積循環或其一部分。可以按順序重複操作301-307,直到WCN薄膜在操作309中完全成長。
如果在操作305中使用直接電漿製程,則方法300可以包括使用第一電漿功率的一初始組的ALD循環和使用第二電漿功率的第二組的ALD循環。這可以最小化對介電質表面之電漿相關損壞,第一電漿功率低於第二電漿功率。在一個示例中,在第一組的ALD循環中使用的各站之電漿功率為100至300 W及在第二組的ALD循環中使用的各站之電漿功率為400至600 W。在一些實施例中,各站功率可為針對第一電漿功率之100-400 W,以及針對第二電漿功率之400-1500 W。對於僅使用一種電漿功率的實施例,在一些實施例中各站功率可為400-1500 W。
如上說明,在一些實施例中,圖1中的方法包括沉積氮化鎢(WN)襯墊層而不是WCN襯墊層。操作101和操作103可以如上所述被執行。操作105包括WN氮化物層的沉積。
沉積氮化鎢層可以藉由暴露特徵部於在熱(非電漿)或電漿ALD製程中的順序脈衝的鎢前驅物(例如WF 6)、還原劑和氮化劑而進行。在熱製程的某些實施例中,二硼烷(B 2H 6)被用作還原劑且氨(NH 3)為氮化劑。可以使用諸如B 2H 6的含硼還原劑而不是諸如矽烷(SiH 4)的含矽還原劑,因為後者會導致氮化鎢沉積有顯著的長時間成核延遲,合適的氮化劑的另一個示例是N 2H 4。在一些實施例中,NH 3可與金屬前驅物共同流動。
除了WF 6之外,可以使用含氯鎢前驅物(WCl x),諸如五氯化鎢(WCl 5)和六氯化鎢(WCl 6)。這些前驅物可以藉由與諸如矽烷(SiH 4)和二硼烷(B 2H 6)的還原劑反應而還原為元素鎢(W)。
還原劑的示例可以包括含硼還原劑(包括B 2H 6和其他硼烷)、含矽還原劑(包括SiH 4和其他矽烷)、聯氨和鍺烷。
在沉積包括諸如WF 6的含氟前驅物的某些實施例中,金屬前驅物與B 2H 6的比值至少為2.9:1。 高WF 6:B 2H 6比值會減少氟侵蝕。發生這種情況的機制是藉由減少表面上還原劑吸附的位點數量,從而減少WF 6將反應的位點數量,因此,雖然沉積腔室中有較多的氟物質,但在表面附近反應的氟物質較少。在一些實施例中,還原劑可以惰性氣體稀釋。
用於沉積的示例基板溫度範圍包括250°C至400°C,例如250°C至350°C。在一些實施例中,使用相對低溫以改善階梯覆蓋。在一些實施例中,WN表面可以在沉積之後脫鹵,使表面脫鹵可包括暴露表面於由諸如H 2之含氫氣體產生的電漿。在一些實施例中,電漿由Ar/H 2混合物或N 2/H 2混合物產生。 電漿中的氫自由基與氟雜質反應以形成HF氣體,其可從處理室中排出。
氮化鎢層的沉積可以包括電漿增強沉積。在一些實施例中,可以使用由諸如N 2的含氮氣體產生的遠程或原位(in-situ)電漿。活性物質諸如原子氮或氮離子可與金屬前驅物反應。根據各種實施例,可以使用下列序列中的一種或多種以沉積氮化鎢層。 B 2H 6(或其他還原劑)/鎢前驅物/NH 3B 2H 6(或其他還原劑)/鎢前驅物/NH 3+ 鎢前驅物 B 2H 6(或其他還原劑)/鎢前驅物/氮電漿物質
在一些實施例中,還原劑是氨(NH 3)或其他含氮還原劑,諸如聯氨(N 2H 4)。在所述實施例中,含氮還原劑可以作為還原劑和氮化劑。在所述實施例中,含氮還原劑可以與鎢前驅物共同流動或脈衝。
氮化鎢層中氮的量可以不同。因此,用語「氮化鎢」並不表示金屬與氮的特定比例。類似地,WN可用於表示具有任何合適的W:N比的氮化鎢。
回到圖1,可以如上所述執行操作107,其中在WN層上執行處理。操作109包括可以在WN層上沉積鎢成核層。如上所述執行操作111和操作113。
在一些實施例中,單晶鎢在沒有襯墊層及/或成核層的情況下從金屬線成長。圖4A繪示根據各種實施例之以鎢填充特徵部的方法,首先,在操作401中,接收包括連接至金屬線的特徵部之圖案化基板。圖案化特徵部的示例如圖2A所示,如上所述。金屬線可以是W、Mo、Co、Cu或其他合適的金屬。
圖5A繪示包括連接至金屬線501的特徵部505的圖案化基板的另一個示例,金屬線501可以是多晶金屬線。不同金屬晶粒的區域501a-501d如圖所示,如圖所示,晶界可以是特徵部底部的一部分,例如,最左邊特徵部的特徵部底部包括介於區域501a和區域501b之間的晶界。
回到圖4,在操作403中執行預處理操作。預處理可以是電漿或熱處理,並且可以使用一種或多種氣體,諸如氫氣(H 2)、氮氣(N 2)、氨氣(NH 3)和氬氣(Ar),可單獨或組合使用。在一些實施例中,例如,可以使用由H 2/Ar產生的遠程電漿及/或由H 2/N 2產生的遠程電漿,操作403可以清潔進入表面及/或去除金屬線上的原生氧化物。
預處理可以在與隨後沉積相同的腔室中進行,或者在真空下與沉積腔室相連的腔室中進行,以防止轉移過程中的氧化。
除了從特徵部中的金屬表面移除原生氧化物之外,操作403還可以調節金屬線上隨後的鎢沉積相對於氧化物或其他介電質表面的選擇性。也就是說,藉由增加預處理時間及/或強度,可以降低對鎢的選擇性,下面將參照圖6A和6B進一步討論這種效果。此外,操作403可以增加鎢在氧化物或其他介電質表面上的附著。鎢在介電質上的沉積和附著對於覆蓋層的沉積和在化學機械平坦化(CMP)期間保持鎢互連的完整性可為重要的。
接下來,在操作405中,在各特徵部中沉積單晶鎢。各特徵部中的鎢皆以下面的金屬為模板。這在圖5B中進行了說明。單晶粒527a由區域501a模板化,單晶粒527b由區域501b模板化,單晶粒527c由區域501c模板化,且單晶粒527d由區域501d模板化。鎢從特徵部底部的一個點成核,從而形成單晶。因此,即使在特徵部底部存在一個或多個晶界,單晶鎢也會在特徵部內形成。
操作405包括ALD,其使用含鎢前驅物和作為還原劑的H 2。使用ALD進行鎢的主體沉積將在下文進一步討論。在操作405過程中,鎢僅從下面的金屬單純從下往上開始成長,而不是從介電質側壁開始成長。然而,在一些實施例中,隨著成長進行,鎢也從側壁成長。此可有助於防止在成長過程中形成孔洞,並可能提高附著力。如上述和下述關於圖6A和6B所討論的,在操作403中執行預處理促進在初始成長階段之後從側壁成長。在替代實施例中,主體沉積可使用CVD製程。
在一些實施例中,可以實施如上所述的抑制處理以促進由下往上的成長。在其他實施例中,藉由在未執行抑制操作的情況下適當地調節鎢沉積的選擇性以實現由下往上的成長。
在一些實施例中,繼續進行單晶鎢成長以完成特徵部填充並成長覆蓋層,該製程可包括為進行覆蓋而切換至CVD以加速該製程。CVD也可用以為了生產量來完全填充特徵部。繼續由下往上成長的結果如圖5C所示,各晶粒527a–527d現已填充一特徵部並提供一覆蓋層。
在替代實施例中,一旦所有特徵部被填充超過平坦化點,即可停止各特徵部中單晶鎢的成長,如圖4B中所述的製程450可被執行。該製程開始於操作451,其中包括部分填充有單晶鎢的複數特徵部的圖案化基板被接收。接收基板的操作可能包括在單晶鎢沉積或其他製程之後單純保有已經在站的基板。在一些實施例中,基板可被轉移至新的站或腔室以進行處理。如上所述,圖5B繪示部分填充有單晶粒527a–527d的複數特徵部。
回到圖4B,然後在操作453中在部分填充的複數特徵部上沉積共形附著層,此層可用於在CMP過程中提高鎢對周圍介電質的附著力。在CMP過程中,缺乏附著力可能是一個問題,特別是在複數特徵部稀疏的情況下可能發生。附著層的示例包括WCN、W成核層和氮化鈦(TiN)。對介電質具有良好附著力且鎢可在其上成長的任何層皆可使用。可以在CMP過程中去除共形附著層。在所述情況下,其並非最終裝置的一部分,因此其電性不會影響最終裝置。圖5D繪示共形附著層530沉積在部分填充的複數特徵部的鎢、複數特徵部側壁和特徵部之間的場區域。
回到圖4B,在操作455中覆蓋層沉積在共形附著層上。特徵部填充在該操作過程中完成。因為各特徵部填充有單晶鎢超過平坦化點,所以在操作455中沉積的金屬將被移除且其可為多晶的。通常,該金屬為鎢,雖然在一些實施例中,可以沉積不同的犧牲金屬。圖5E繪示沉積在共形附著層530上的多晶層531。
在形成如圖5C或圖5E中的覆蓋層之後可以執行CMP,圖5F繪示在CMP之後的填充特徵部,各特徵部皆填充有單晶鎢。
在替代實施例中,以上關於圖4B描述的方法可藉由執行電漿處理而不是操作453來調整。電漿處理可以調節鎢沉積在金屬上相對於介電質的選擇性。此可導致在不影響晶粒結構的情況下進行共形成長,使得隨後為單晶成長。
成核層沉積
在一些實施方式中,此處所述的方法包括在沉積主體層之前沉積成核層。成核層為薄共形層,其有助於隨後在其上沉積主體材料。此處所述的方法不限於成核層沉積的特定方法,而是包括藉由包括ALD、CVD和物理氣相沉積(PVD)之任何方法在成核層上沉積主體薄膜。此外,在某些實施方式中,主體鎢可以在未使用成核層的情況下直接沉積在特徵部中,而以WCN層支撐主體沉積。在一些實施方式中,可以執行未使用成核層的主體沉積製程。
在各種實施方式中,成核層沉積可包括暴露於如上所述的金屬前驅物和還原劑,還原劑的示例可包括含硼還原劑(包括二硼烷(B 2H 6)和其他硼烷)、含矽還原劑(包括矽烷(SiH 4)和其他矽烷)、聯氨和鍺烷。
主體沉積
如上所述,可以在操作113中執行主體沉積。在一些實施方式中,主體沉積可以藉由CVD製程進行,在CVD製程中還原劑和含鎢前驅物流入沉積腔室以在特徵部中沉積主體沉積層。惰性載氣可用於輸送一種或多種反應物流,其可以預混合或不預混合。與ALD製程不同的是,此操作通常包括連續供應反應物,直到沉積理想的量。在某些實施方式中,CVD操作可在多個階段中進行,其中反應物的連續和同時供應之多個時段被一種或多種反應物供應受轉向的多個時段分開。
在一些實施例中,使用ALD製程執行主體沉積,其中含鎢前驅物係與還原劑交替。在所述情況下,可以使用諸如H 2的還原劑,其比在成核層沉積中所使用的SiH 4或B 2H 6之還原劑弱。
雖然有機鎢前驅物,如上關於WCN沉積所述,可用於鎢成核層和鎢主體沉積,但是鹵化鎢前驅物諸如六氟化鎢(WF 6)、六氯化鎢(WCl 6)和五氯化鎢(WCl 5)可用於主體鎢沉積。這些可以藉由與還原劑如氫(H 2)、二硼烷(B 2H 6)、矽烷(SiH 4)或鍺烷(GeH 4)反應來還原。
抑制鎢成核
抑制製程可以如上所述被執行,電漿抑制製程包括暴露於由含氮化合物(諸如N 2)所產生的電漿。在一些實施例中,電漿功率、腔室壓力及/或製程氣體可被脈衝化。
熱抑制製程通常包括暴露特徵部於含氮化合物諸如氨(NH 3)或聯氨(N 2H 4)以非共形地抑制特徵部開口附近的特徵部。在一些實施例中,熱抑制製程在250°C至450°C的溫度範圍內執行,在這些溫度下,先前形成的鎢層或其他層暴露於NH 3會導致抑制效果。其他潛在抑制化學物質諸如氮氣(N 2)或氫氣(H 2)可用於在較高溫度(例如900°C)下之熱抑制。然而,對於許多應用來說,這些高溫超出了熱預算。除了氨之外,其他含氫氮化劑(例如聯氨)也可在適用於後段製程(BEOL)應用的較低溫度下使用。在熱抑制期間,金屬前驅物可以與抑制氣體一起供應或與氣體以交替脈衝供應。
表面之氮化可將其鈍化。與在常規的主體鎢薄膜上相比,在氮化表面上的隨後鎢沉積有顯著延遲。除了含氮物質外,還可以使用碳氟化合物諸如CF 4或C 2F 8。然而,在某些實施方式中,抑制物質不含氟以防止抑制過程中的蝕刻。氧也可抑制成核。然而,在某些實施方式中,可避免使用氧。
除了上述表面之外,可以在諸如WCN表面之襯墊層/阻擋層表面上抑制成核。鈍化這些表面的任何化學物質皆可以被使用。抑制化學物質在使用不同比例的活性抑制物質的情況下也可用於調整抑制輪廓。例如,對於鎢表面的抑制,氮可能比氫具有更強的抑制效果;調整混合氣體中N 2和H 2之比例可用於調整輪廓。
在某些實施方式中,在抑制之前,基板可被加熱或冷卻。可選擇用於基板的預定溫度以誘導特徵部表面和抑制物質之間的化學反應及/或促進抑制物質的吸附,以及控制反應或吸附的速率,例如,可以選擇具有高反應速率的溫度,使得在氣體源附近有較多的抑制。
抑制後,可以如上所述調節抑制效果。在相同或其他實施例中,還可以藉由以下方式進行調整:將其浸泡在還原劑或金屬前驅物中、暴露於含氫(H)電漿、執行熱退火、將其暴露於空氣中,其可減少抑制效果。
也可以在抑制處理之前執行一種或多種調節抑制效果的處理,例如,可以使用還原劑浸泡來增加抑制效果。
實驗
在使用以下製程沉積WCN襯墊層和鎢成核層之後,複數特徵部被填充。多個通孔之圖案化結構被填充。
製程 預清潔 WCN沉積 後處理 鎢成核 抑制 主體鎢沉積 結果
1 Ar/H 2電漿 N 2/H 2電漿 使用有機鎢前驅物及Ar/H 2直接電漿之ALD沉積 使用WF 6及B 2H 6之熱ALD沉積 稀釋的N 2遠程電漿 使用WF 6及H 2之ALD製程 在大部分通孔中為單晶;不具有顯著縫隙
2 Ar/H 2電漿 N 2/H 2電漿 使用有機鎢前驅物及Ar/H 2直接電漿之ALD沉積 H 2/Ar直接電漿 使用WF 6及B 2H 6之熱ALD沉積 稀釋的N 2遠程電漿 使用WF 6及H 2之ALD製程 在大部分通孔中為單晶;不具有顯著縫隙
對於使用H 2/Ar電漿的不同預處理操作,在沒有成核層或襯墊層的情況下,比較金屬(鎢)和介電質(四乙氧基矽烷(TEOS)氧化物)上的鎢成長。結果如圖6A和6B所示。首先,在圖6A中,繪示了無預處理、60秒550 W電漿預處理、60秒750 W電漿預處理、60秒950 W預處理和120秒550 W電漿預處理的鎢在TEOS氧化物上的成長。使用H 2和Ar體積比為1:1的直接高頻電漿、0.5至1 Torr的腔室壓力。
結果顯示,在沒有預處理的情況下,沒有觀察到成長。對於60秒750 W電漿預處理、60秒950 W預處理和120秒550 W電漿預處理,成長與沉積循環數呈線性關係。電漿功率可用於調節成長速率。例如,對於60秒之處理,將電漿功率從750 W增加到950 W會使2000次循環時的成長增加4倍。
圖6B繪示PVD鎢的成長曲線對於所有處理都是相似的,包括沒有進行處理,因此,處理條件(特別是電漿功率)可用於調節鎢沉積在金屬上相對於介電質表面的選擇性。
儀器
圖7描繪了具有用於維持低壓環境之處理室702的處理站700的實施例的示意圖。多個處理站可包含在共同的低壓處理工具環境中,例如,圖8描繪了多站處理工具800的實施例。在一些實施例中,處理站700的一個或多個硬體參數,包括下面詳細討論的內容,可以由一個或多個電腦控制器750以編程方式調整。
處理站700與用於將製程氣體輸送至分配噴淋頭706之反應物輸送系統701a流體連通。反應物輸送系統701a包括用於混合及/或調節製程氣體(諸如含有WCN前驅物的氣體、含氫氣體或含氮氣體)之混合容器704以輸送至噴淋頭706。一個或多個混合容器入口閥720可以控制製程氣體至混合容器704的引入。在各種實施例中,WCN薄膜的沉積在處理站700中執行且在一些實施例中,其他操作諸如預處理、後處理、鎢成核層沉積、抑制及/或鎢主體層填充可以在多站處理工具800的相同或另一站中執行,如下述關於圖8進一步的描述。在其他實施例中,WCN沉積可以在第一腔室中執行且鎢成核和主體沉積可在一個或多個額外的腔室中執行。
作為示例,圖7的實施例包括用於汽化待供應至混合容器704的液體反應物的汽化點703。在一些實施例中,汽化點703可以是加熱汽化器。在一些實施例中,液體前驅物或液體反應物可以在液體噴射器(未繪示)汽化。例如,液體注射器可將液體反應物脈衝注射至混合容器704上游的載體氣流中。在一個實施例中,液體注射器可藉由將液體從較高壓力至較低壓力進行閃蒸以汽化反應物。在另一個示例中,液體注射器可以將液體霧化成分散的微滴,其隨後在經加熱的輸送管中汽化。較小的液滴可比較大的液滴汽化得更快,從而減少液體注入至完全汽化之間的延遲。較快的汽化可減少汽化點下游的管道長度。在一種情境下,液體注射器可以直接安裝至混合容器704。在另一種情境下,液體噴射器可以直接安裝至噴淋頭706。
在一些實施例中,一個或多個充氣容積(charge volume)可以設置在噴淋頭706的上游以累積和加壓製程氣體。充氣容積可用於增強WN或WCN薄膜的階梯覆蓋。
在一些實施例中,可以在汽化點703上游提供液體流量控制器(LFC),其用於控制用於汽化和輸送至處理室702之液體的質量流量,例如,LFC可包括位於LFC下游的熱式質量流量計(MFM)。接下來,LFC的活塞閥可以被調整以回應與MFM電通信中的比例積分微分(PID)控制器提供的回饋控制訊號。然而,可能需要一秒或更長時間使用回饋控制以穩定液體流動,此可能會延長配給液體反應物的時間。因此,在一些實施例中,LFC可以在回饋控制模式和直接控制模式之間動態切換。在一些實施例中,此可以通過停用LFC和PID控制器的感測管來執行。
噴淋頭706向基板712分配製程氣體。在圖7所示的實施例中,基板712位於噴淋頭706下方,並置於基座708上。噴淋頭706可以具有任何合適的形狀且可以具有任何合適的數量及配置的端口以分配製程氣體至基板712。
在一些實施例中,基座708可以升高或降低以暴露基板712於基板712和噴淋頭706之間的體積。在一些實施例中,基座708可藉由加熱器710控制溫度。基座708可以為了執行不同的所揭露實施例而設置任何合適的溫度,諸如在操作過程中介於約25°C至約650°C之間。應當理解的是,在一些實施例中,可以藉由合適的電腦控制器750以編程方式調整基座高度,在製程階段結束時,基座708可以在另一個基板之傳送階段過程中降低以允許從基座708移除基板712。
在一些實施例中,噴淋頭706的位置可以相對於基座708進行調整,以改變基板712和噴淋頭706之間的體積。此外,應當理解的是,基座708及/或噴淋頭706的垂直位置可以在本揭露範圍內之任何合適機構調整。在一些實施例中,基座808可以包括用於旋轉基板712的方向的旋轉軸。應當理解的是,在一些實施例中,藉由一個或多個合適的電腦控制器750可以編程方式執行一個或多個這些示例調整。電腦控制器750可以包括下述關於圖8的控制器850的任何特徵。
在如上討論可以使用電漿的一些實施例中,噴淋頭706和基座708與射頻(RF)電源714和匹配網路716電通信,以用於為電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率和電漿功率脈衝定時中的一者或多者以控制電漿能量。例如,RF電源714和匹配網路716可以在任何合適的功率下操作以形成具有期望的離子和自由基組成的電漿。同樣地,RF電源714可以提供任何合適頻率的RF功率。在一些實施例中,RF電源714可以被配置以彼此獨立地控制高頻和低頻RF電源。示例的低頻RF頻率可以包括但不限於0 kHz至900 kHz。示例的高頻RF頻率可以包括但不限於1.8 MHz至2.45 GHz、或大於約13.56 MHz、或大於27 MHz、或大於80 MHz、或大於60 MHz。應當理解的是,可以離散地或連續地調整任何合適的參數為表面反應提供電漿能量。
在一些實施例中,電漿可以由一個或多個電漿監測器原位監測。在一種情境下,電漿功率可由一個或多個電壓、電流感測器(例如VI探針)監測。在另一種情況下,電漿密度及/或製程氣體濃度可以藉由一個或多個光學放射光譜感測器(OES)來測量。在一些實施例中,一個或多個電漿參數可以基於來自所述原位電漿監測器的測量以編程方式調整,例如,OES感測器可以用在回饋迴路中以提供電漿功率的編程控制。應當理解的是,在一些實施例中,可以使用其他監測器來監測電漿和其他製程特性。所述監測器可以包括但不限於紅外線(IR)監測器、聲學監測器和壓力傳感器。
在一些實施例中,可以經由輸入/輸出控制(IOC)排序指令以提供用於控制器750的指令。在一個示例中,用於為製程階段設置條件的指令可以包含在製程配方的相應配方階段中。在一些情況下,製程配方階段可以依序排列,使得製程階段的所有指令與該製程階段同時執行。在一些實施例中,用於設置一個或多個反應器參數的指令可以包含在配方階段中,例如,第一配方階段可以包括用於設置惰性及/或反應氣體(例如第一前驅物,諸如WCN前驅物)的流速的指令、用於設置載氣(例如氬)的指令,以及第一個配方階段的時間延遲指令。第二(隨後的)配方階段可以包括用於調節或停止惰性及/或反應氣體的流速的指令、用於調節載氣或驅氣氣體的流速的指令,以及第二配方階段的時間延遲指令。第三配方階段可包括用於調節第二反應氣體諸如H 2或NH 3的流速的指令、用於調節載氣或驅氣氣體的流速的指令、用於點燃電漿的指令以及第三配方的時間延遲指令。第四(隨後的)配方階段可包括用於調節或停止惰性及/或反應氣體的流速的指令、用於調節載氣或驅氣氣體的流速的指令以及第四配方階段的時間延遲指令。應當理解的是,這些配方階段可以在本揭露範圍內以任何合適的方式進一步細分及/或重複。
此外,在一些實施例中,處理站700的壓力控制可由蝶閥718提供。如圖7的實施例所示,蝶閥718節流由下游真空泵(未繪示)提供的真空。然而,在一些實施例中,也可藉由改變導入至處理站700的一種或多種氣體的流速以調整處理站700的壓力控制。
如上所述,一個或多個處理站可以包含在多站處理工具中。圖8繪示了多站處理工具800的實施例的示意圖,多站處理工具800具有入站加載互鎖802和出站加載互鎖804,其中一個或兩個可以包括遠程電漿源(未繪示)。在一大氣壓下,機器人806被配置為將晶圓從通過傳送盒808裝載的匣經由大氣端口810移動至入站加載互鎖802中。晶圓(未繪示)藉由機器人806放置在入站加載互鎖802中的基座812,大氣端口810關閉,且入站加載互鎖802被抽空。在入站加載互鎖802包括遠程電漿源的情況下,晶圓可以在被導入處理室814之前暴露於入站加載互鎖802中的遠程電漿處理。此外,晶圓也可以在入站加載互鎖802中被加熱,例如去除水分和所吸附的氣體。接著,打開通向處理室814的腔室輸送埠816,另一台機器人(未繪示)將晶圓放入在第一站的基座上之反應器內以進行處理,雖然圖8中繪示的實施例包括加載互鎖,但應當理解的是,在一些實施例中,可提供晶圓直接進入處理站。
所示處理室814包括四個處理站,在圖8所示的實施例中編號為1至4。各站具有經加熱的基座(對於站1以818所示)和氣體管線入口。應當理解的是,在一些實施例中,各處理站可以具有不同或多個目的,例如,在一些實施例中,處理站可以在ALD和電漿增強ALD製程模式之間切換。在一些實施例中,暴露於沉積前驅物和暴露於第二反應物和電漿是在同一站中執行的。額外地或替代地,在一些實施例中,處理室814可包括一對或多對匹配的ALD和電漿增強ALD處理站。此外,暴露於預處理氣體或電漿和ALD製程可以發生在相同或不同的站中。雖然所示的處理室814包括四個站,但應當理解的是,根據本揭露之處理室可具有任何合適數目的站,例如,在一些實施例中,處理室可具有五個或更多站,而在其他實施例中,處理室可具有三個或更少站。
圖8描繪了用於在處理室814內轉移晶圓的晶圓搬運系統890的實施例。在一些實施例中,晶圓搬運系統890可以在不同處理站之間及/或處理站和加載互鎖之間轉移晶圓。應當理解的是,可以採用任何合適的晶圓搬運系統。非限制性示例包括晶圓傳送帶和晶圓搬運機器人。圖8還描繪了系統控制器850用以控制製程條件和處理工具800的硬體狀態的實施例。系統控制器850可以包括一個或多個記憶裝置856、一個或多個大量資料儲存裝置854和一個或多個處理器852。處理器852可以包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器850控制處理工具800的所有活動。系統控制器850執行儲存在大量資料儲存裝置854中的系統控制軟體858、加載至記憶裝置856中並在處理器852上執行,或者,控制邏輯可以硬編碼在控制器850中。專用積體電路(Application Specific Integrated Circuit)、可編程邏輯裝置(Programmable Logic Device,例如,現場可編程邏輯閘陣列(或稱為FPGA))和其類似物可以用於這些目的。在下面的討論中,無論哪裡使用「軟體」或「代碼」,都可以使用功能相當的硬編碼邏輯來替代。系統控制軟體858可以包括用於控制時間、氣體混合物、氣體流速、腔室及/或站壓力、腔室及/或站溫度、電漿暴露持續時間、UV輻射持續時間、晶圓溫度、目標功率等級、RF功率等級、基板基座、卡盤及/或承載盤位置,以及藉由處理工具800執行的特定製程的其他參數。系統控制軟體858可以任何合適的方式配置,例如,可以編寫各種處理工具元件子程序或控制物件以控制用於執行各種處理工具的處理工具元件的控制操作。系統控制軟體858可以任何合適的電腦可讀編程語言編碼。
在一些實施例中,系統控制軟體858可以包括用於控制上述各種參數的輸入/輸出控制(IOC)排序指令。在一些實施例中可以採用儲存在與系統控制器850相關聯的大量資料儲存裝置854及/或記憶裝置856上的其他電腦軟體及/或程序。用於該目的的程序或部分程序的示例包括基板定位程序、製程氣體控制程序、壓力控制程序、加熱器控制程序和電漿控制程序。
基板定位程序可以包括用於處理工具元件的編程代碼,其用於將基板加載至基座818上且控制基板與處理工具800的其他部件之間的間距。
製程氣體控制程序可以包括用於控制氣體成分(例如,含有機鎢化合物的氣體、共反應氣體、用於進行預處理的氣體和如本文所述的驅氣氣體)和流速的代碼以及可選地在沉積之前使氣體流入一個或多個處理站以穩定處理站中的壓力。壓力控制程序可以包括藉由調節例如處理站的排氣系統中的節流閥、進入處理站的氣體流量等以控制處理站中的壓力的代碼。
加熱器控制程序可以包括用於控制到用於加熱基板的加熱單元之氣流的代碼,或者,加熱器控制程序可以控制傳熱氣體(例如氦氣)至基板的輸送。
根據本文的實施例,電漿控制程序可以包括用於設定施加至一個或多個處理站中的製程電極的RF功率等級的代碼。
根據本文的實施例,壓力控制程序可以包括用於維持反應腔室中的壓力的代碼。
在一些實施例中,可以有與系統控制器850相關的使用者界面。使用者界面可以包括顯示螢幕、設備及/或製程條件的圖形軟體顯示,以及使用者輸入裝置諸如點擊裝置、鍵盤、觸控螢幕、麥克風等。
在一些實施例中,藉由系統控制器850調整的參數可以與製程條件有關。非限制性示例包括製程氣體成分和流速、溫度、壓力、電漿條件(例如,RF偏壓功率等級)等。這些參數可以配方的形式提供給使用者,其可以使用者界面輸入。
用於監測製程的訊號可以由來自各種處理工具感應器的系統控制器850的類比及/或數位輸入連接提供,用於控制製程的該些訊號可以在處理工具800的類比及數位輸出連接上輸出,可以監測的非限制性示例之處理工具感測器包括質量流量控制器、壓力感測器(例如壓力計)、熱電偶等,適當編程的回饋和控制演算法可以與來自這些感測器的數據一起使用以維持製程條件。
系統控制器850可以提供用於實施上述沉積製程的編程指令。編程指令可以控制各種製程參數諸如DC功率等級、RF偏壓功率等級、壓力、溫度等。該些指令可以控制參數以根據本文描述的各種實施例操作薄膜堆疊的原位沉積。
系統控制器850通常包括一個或多個記憶裝置和一個或多個處理器,其被配置為執行指令,使得設備將根據所揭露的實施例的方法執行。根據所揭露的實施例,包含用於控制製程操作的指令的機器可讀媒體可以耦合至系統控制器850。
在一些實施方式中,系統控制器850是系統的一部分,其可以是上述示例的一部分。所述系統可以包括半導體處理工具,其包括一個或多個處理工具、一個或多個腔室、一個或多個用於製程的平台及/或特定製程元件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合以在半導體晶圓或基板的製程之前、過程中及之後控制它們的操作。電子設備可稱為「控制器」,其可控制一個或多個系統的各種元件或子部分。根據製程條件及/或系統類型,系統控制器550可以被編程以控制任何本文所揭露的製程,包括製程氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓進出儀器和其他轉移儀器之轉移及/或連接至或互接至特定系統的加載互鎖。
廣義來說,系統控制器850可以被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等,積體電路可包括儲存編程指令之硬體型態的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片及/或一個或多個執行編程指令(例如軟體)的微處理器或微控制器,編程指令可以是以各種個別設定(或編程檔案)的形式傳送至系統控制器950的指令,定義用於在半導體晶圓(或系統)上或為半導體晶圓(或系統)進行特定製程的操作參數。在一些實施例中,操作參數可以是製程工程師所定義的配方的一部分,以在製造一個或多個層體、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓晶片之過程中完成一種或多種製程步驟。
在一些實施方式中,系統控制器850可以是電腦的一部分或耦合至電腦,該電腦與系統整合並耦合至系統、以其他方式連線至系統或前述之組合,例如,系統控制器850可以在「雲端」或者在晶圓廠主電腦系統之全部或一部分中,其可允許晶圓製程的遠程存取。電腦能夠對系統遠程存取以監控製程操作的當前進度、檢驗過去製程操作的歷史、檢驗來自多個製程操作的趨勢或效能指標、改變當前製程的參數、設定當前製程之後的製程步驟,或開始新的製程。在一些示例中,遠程電腦(例如伺服器)可以通過網路向系統提供製程配方,該網路可以包括區域網路或網際網路。遠程電腦可以包括使用者界面,該使用者界面能夠輸入或編程參數及/或設定,該參數及/或該設定然後從遠程電腦傳送到系統。在一些示例中,系統控制器950接收數據形式的指令,其指定用於在一個或多個操作過程中要執行的每個製程步驟的參數。應當理解的是,參數可以指定於要執行的製程的類型以及與系統控制器850互接或系統控制器850控制的工具的類型。因此,如上所述,系統控制器850可以是分散式的,例如包括一個或多個聯網在一起並以相同目的(例如本文所述的製程和控制)運轉之分離控制器。用於所述目的的分散式控制器的一個示例是在一個腔室上的一個或多個積體電路與一個或多個位於遠程(例如為平台等級或作為遠程電腦的一部分)的積體電路互連,所述互連組合以控制在該腔室的製程。
雖然圖7和圖8提供了可用於執行本文所揭露之方法的腔室和儀工具的示例,但是可以進行各種修改,其包括使用任何CCP或ICP電漿產生器或使用遠程電漿產生器。此外,在一些實施例中,多個單站及/或多站腔室可以連接至共同低壓環境之轉移腔室,其中本文所述的所有操作或操作的子集在共同低壓環境中執行。
在沒有限制的情況下,示例性之系統可以包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組,以及任何其他可能與半導體晶圓之製造及/或生產相關的半導體製程系統或任何其他可能用於半導體晶圓之製造及/或生產的半導體製程系統。
如上所述,取決於工具要執行的一或多個處理步驟,系統控制器850可以與下列一或多個通信:其他工具電路或模組、其他工具組件、叢集工具、其他工具介面、相鄰工具、鄰近工具、遍布工廠各處的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載埠之材料運輸的工具。
雖然為了清楚理解目的已經對前述實施例進行了一些詳細描述,但顯然可以在所附申請專利範圍內實施某些改變和修改。應當注意的是,存在許多替代方式以實現本實施例的製程、系統和設備。因此,本實施例應被認為是示例性的而非限制性的,且實施例不限定於本文所記載之內容。
205:凹槽 206:場表面 207:金屬 208:底表面 211:側壁表面 213:氧化物 221:WCN層 223:鎢成核層 223a:抑制部分 227:經沉積的鎢 501:金屬線 501a:區域 501b:區域 501c:區域 501d:區域 505:特徵部 527a:晶粒 527b:晶粒 527c:晶粒 527d:晶粒 530:共形附著層 531:多晶層 700:處理站 701a:反應物輸送系統 702:處理室 703:汽化點 704:混合容器 706:噴淋頭 708:基座 710:加熱器 712:基板 714:RF電源 716:匹配網路 718:蝶閥 720:入口閥 750:電腦控制器 800:多站處理工具 802:入站加載互鎖 804:出站加載互鎖 806:機器人 808:傳送盒 810:大氣端口 812:基座 814:處理室 816:腔室輸送埠 818:基座 850:控制器 852:處理器 854:大量資料儲存裝置 856:記憶裝置 858:系統控制軟體 890:晶圓搬運系統
圖1繪示一示例,根據各種實施例之以大晶粒鎢形成特徵部之填充的方法。
圖2A-2F繪示在圖1之方法的某些操作之後,圖案化特徵部的橫剖面示意圖的示例。
圖3繪示一示例,根據各種實施例藉由原子層沉積(ALD)沉積碳氮化鎢(WCN)層之方法。
圖4A繪示一示例,根據各種實施例之以大晶粒鎢填充特徵部之方法。
圖4B繪示一示例,根據各種實施例之完全填充特徵部和沉積覆蓋層之方法。
圖5A-5F繪示在圖4A和4B之方法的某些操作之後,圖案化特徵部的橫剖面示意圖的示例。
圖6A繪示在各種預處理之後鎢在TEOS氧化物上的成長。
圖6B繪示在各種預處理之後鎢在PVD鎢上的成長。
圖7和圖8是用於執行某些揭露的實施例的製程手段之示例的示意圖。
501a:區域
501b:區域
501c:區域
501d:區域
527a:晶粒
527b:晶粒
527c:晶粒
527d:晶粒

Claims (21)

  1. 一種鎢成長之方法,包括: 提供一基板,其包括一圖案化結構,該圖案化結構包括具有一特徵部底部和複數介電側壁之一特徵部,該特徵部在該特徵部底部具有一暴露的金屬表面; 暴露該金屬表面和該些介電側壁於一氫基電漿處理;以及 以鎢至少部分填充至該特徵部,其中鎢為單晶鎢。
  2. 根據請求項1所述的鎢成長之方法,其中以鎢至少部分填充至該特徵部包括藉由一原子層沉積(ALD)步驟沉積主體鎢。
  3. 根據請求項2所述的鎢成長之方法,其中在未先形成一襯墊層或成核層的情況下將該主體鎢沉積在該特徵部中。
  4. 根據請求項1所述的鎢成長之方法,進一步包括,在以單晶鎢部分填充至該特徵部之後,沉積一共形附著層在該些暴露的介電側壁和單晶鎢上。
  5. 根據請求項5所述的鎢成長之方法,還包括在該共形附著層上沉積一金屬層以完全填充該特徵部。
  6. 根據請求項1所述的鎢成長之方法,還包括在以單晶鎢部分填充至該特徵部之後,暴露該特徵部至一氫基電漿處理。
  7. 根據請求項1所述的鎢成長之方法,還包括完全填充該特徵部。
  8. 一種鎢成長之方法,包括: 提供一基板,其包括具有一特徵部頂部、一特徵部底部和複數特徵部側壁之一特徵部; 執行一原子層沉積(ALD)步驟的多個循環以沉積一碳氮化鎢(WCN)薄膜以加襯在該些特徵部側壁上; 暴露該碳氮化鎢薄膜於一氫基電漿處理; 沉積一共形鎢(W)成核層在該碳氮化鎢薄膜上; 相對於該特徵部底部選擇性地抑制該共形鎢成核層靠近該特徵部頂部之沉積;以及 以鎢填充該特徵部,其中鎢為單晶鎢。
  9. 根據請求項8所述的鎢成長之方法,其中該碳氮化鎢薄膜的厚度至少為3埃。
  10. 根據請求項8所述的鎢成長之方法,其中該共形鎢成核層的厚度至少為10埃。
  11. 根據請求項8所述的鎢成長之方法,其中該共形鎢成核層的厚度至少為15埃。
  12. 根據請求項8所述的鎢成長之方法,還包括在沉積該碳氮化鎢薄膜之前清潔該特徵部。
  13. 根據請求項8所述的鎢成長之方法,其中該氫基電漿處理從該碳氮化鎢薄膜移除過量的碳及/或氮。
  14. 根據請求項8所述的鎢成長之方法,其中該原子層沉積步驟的每個循環包括引入一含氮有機鎢化合物之脈衝以吸附在該些特徵部側壁上及暴露該基板於一共反應物以與被吸附的該含氮有機鎢化合物進行反應。
  15. 根據請求項14所述的鎢成長之方法,其中暴露該基板於一共反應物包括暴露該基板於一電漿。
  16. 根據請求項15所述的鎢成長之方法,其中該原子層沉積步驟包括使用第一電漿功率之第一階段和使用第二電漿功率之第二階段,該第一電漿功率低於該第二電漿功率。
  17. 根據請求項14所述的鎢成長之方法,其中該共反應物為H 2和NH 3中的至少一者。
  18. 根據請求項14所述的鎢成長之方法,其中該含氮有機鎢化合物為雙(烷基亞胺基)雙(烷基胺基)鎢化合物。
  19. 根據請求項14所述的鎢成長之方法,其中該含氮有機鎢化合物選自:W 2(NMe 2) 6、乙基環戊二烯基-二羰基亞硝醯基-鎢、甲基環戊二烯基-二羰基亞硝醯基-鎢和乙基環戊二烯基-三羰基氫化鎢。
  20. 根據請求項8所述的鎢成長之方法,其中該碳氮化鎢薄膜具有30至80原子百分比的鎢(W)、3至50原子百分比的碳(C)和1至60原子百分比的氮(N)。
  21. 一種鎢成長之方法,包括: 提供一基板,其包括具有一特徵部頂部、一特徵部底部和複數特徵部側壁之一特徵部; 執行一原子層沉積(ALD)步驟之多個循環以一沉積氮化鎢(WN)薄膜以加襯在該些特徵部側壁上; 暴露該氮化鎢薄膜於一氫基電漿處理; 沉積一共形鎢(W)成核層在該氮化鎢薄膜上; 相對於該特徵部底部選擇性地抑制該共形鎢成核層靠近該特徵部頂部之沉積;以及 以鎢填充該特徵部,其中鎢為單晶鎢。
TW111147590A 2021-12-13 2022-12-12 特徵部中的大晶粒鎢生長 TW202340503A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163265340P 2021-12-13 2021-12-13
US63/265,340 2021-12-13

Publications (1)

Publication Number Publication Date
TW202340503A true TW202340503A (zh) 2023-10-16

Family

ID=86773317

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111147590A TW202340503A (zh) 2021-12-13 2022-12-12 特徵部中的大晶粒鎢生長

Country Status (2)

Country Link
TW (1) TW202340503A (zh)
WO (1) WO2023114106A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437269B2 (en) * 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110004429B (zh) * 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
CN107743653A (zh) * 2015-06-18 2018-02-27 英特尔公司 用于半导体结构的金属特征的自底向上填充(buf)
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
JP2022547025A (ja) * 2019-09-03 2022-11-10 ラム リサーチ コーポレーション モリブデン堆積

Also Published As

Publication number Publication date
WO2023114106A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
US10741458B2 (en) Methods for depositing films on sensitive substrates
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
CN110959186B (zh) 在互连金属化中沉积钌层
JP5376361B2 (ja) タングステン膜の製造方法および装置
US8623733B2 (en) Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US20100120245A1 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20220328317A1 (en) Molybdenum deposition
WO2013032786A2 (en) Plasma activated conformal dielectric film deposition
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
JP2023520675A (ja) 核形成阻害を伴うフィーチャ充填
TW201920748A (zh) 氮化鎢阻障層沉積
JP2024514605A (ja) モリブデンの堆積
US20230290639A1 (en) Low resistance gate oxide metallization liner
TW202340503A (zh) 特徵部中的大晶粒鎢生長
KR20240070485A (ko) 상호접속부를 위한 wcn 배리어/접착층의 선택적인 증착
WO2024091543A1 (en) Selective molybdenum fill
TW202400827A (zh) 用於邏輯源極/汲極接點的低電阻鉬沉積
TW202338134A (zh) 金屬填充中的傾斜襯墊
TW202407778A (zh) 金屬矽化物接觸窗形成