TW202338134A - 金屬填充中的傾斜襯墊 - Google Patents

金屬填充中的傾斜襯墊 Download PDF

Info

Publication number
TW202338134A
TW202338134A TW111145588A TW111145588A TW202338134A TW 202338134 A TW202338134 A TW 202338134A TW 111145588 A TW111145588 A TW 111145588A TW 111145588 A TW111145588 A TW 111145588A TW 202338134 A TW202338134 A TW 202338134A
Authority
TW
Taiwan
Prior art keywords
feature
metal
nitride layer
metal nitride
layer
Prior art date
Application number
TW111145588A
Other languages
English (en)
Inventor
李相協
阿南德 嘉德瑞什卡
凱翰 阿畢迪 艾許地安尼
克林帕 派崔克 奧古斯 凡
約書亞 柯林斯
勞倫斯 施洛斯
珊傑 戈皮納思
舉文 高
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202338134A publication Critical patent/TW202338134A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

用金屬填充部分製造之半導體基板之特徵的填充方法包含於特徵中沉積傾斜金屬氮化物層。傾斜金屬氮化物層之厚度及/或氮濃度隨著特徵深度而減小。於特徵之頂部,傾斜金屬氮化物層可於隨後之平坦化過程中作為黏著層。因為傾斜金屬氮化物層之厚度和/或氮濃度進入特徵中進一步降低,所以它於特徵之中段以及底部佔據較小的體積。這改善了特徵中之電阻率。該特徵被填滿金屬。

Description

金屬填充中的傾斜襯墊
本發明是關於用金屬薄膜填充特徵,尤其是於特徵開口處形成傾斜襯墊。
於半導體製造中,線路以及通孔等之特徵可能會填充導電材料,例如鎢 (W)。隨著半導體裝置縮小至10nm節點以及更小節點,金屬互連中之線路以及通孔接觸電阻迅速增加。這是由於載流之截面的減小、電子散射的增加以及用金屬填充狹窄特徵的挑戰。
本文所提供之先前技術說明是為了大致呈現本揭露內容之背景之目的。在該先前技術段落中所述之目前列名發明人之工作,以及不能以其它方式認定為申請時之先前技術的實施態樣敘述皆不被明示或暗示地承認為針對本揭露內容之先前技術。
所提供的是用金屬薄膜填充特徵之方法。應用包含10nm以下節點之中段製程 (middle of line,MOL) 以及後段製程 (back end of line,BEOL) 之邏輯以及記憶體互連。根據各種實施例,傾斜金屬氮化物層沉積於特徵中。傾斜金屬氮化物層之厚度及/或氮濃度隨特徵深度而減小。於特徵之頂部,傾斜金屬氮化物層可於隨後之平坦化過程中作為黏著層。因為傾斜金屬氮化物層之厚度及/或氮濃度進入特徵中進一步降低,所以它於特徵之中段以及底部佔據較小的體積。這改善了特徵中之電阻率。
於一些實施例中,傾斜氮化物層於特徵開口附近比於特徵內具有更高之氮濃度。於特徵底部及/或特徵中部之傾斜氮化物層富含金屬。於一些實施例中,傾斜金屬氮化物層之富含金屬部分作為用於後續之塊狀金屬沉積之成核層。這可允許塊狀金屬於特徵中沉積而不介入成核層沉積。
本揭露內容之一態樣涉及一種方法,包括:提供一基板,其包含具有一特徵開口、一特徵底部以及特徵側壁之一特徵;以及於特徵中非共形地沉積一金屬氮化物層,使得將金屬氮化物層加襯於特徵側壁之至少一部分。該金屬氮化物層的厚度及/或氮濃度沿著該特徵側壁隨著該金屬氮化物層從該特徵開口向該特徵底部延伸而隨之減小。
於一些實施例中,方法更包含於沉積金屬氮化物層之後,沉積一金屬成核層於特徵中。於一些這樣的實施例中,方法更包含非共形地處理金屬成核層,以抑制金屬成核。特徵側壁上之金屬成核於特徵開口附近較於特徵底部附近受到較大程度的抑制。於一些實施例中,方法更包含沉積一塊狀金屬層於金屬成核層上。
於一些實施例中,金屬為鎢或鉬。於一些實施例中,非共形地沉積金屬氮化物層於特徵中包含輸送氨 (NH 3) 以及一含金屬前驅物之脈衝至容納基板之一腔室。於一些這樣的實施例中,非共形地沉積金屬氮化物層於特徵中更包含輸送乙硼烷 (B 2H 6) 脈衝至腔室。
於一些實施例中,非共形地沉積金屬氮化物層於特徵中包含輸送一含金屬前驅物以及電漿活化之氮物質之脈衝至容納基板之一腔室。於一些這樣的實施例中,非共形地沉積金屬氮化物層於特徵中更包含輸送乙硼烷 (B 2H 6) 脈衝至腔室。
於一些實施例中,於該特徵開口附近之該特徵側壁上之該金屬氮化物層之該厚度至少為在該特徵開口與該特徵底部之間沿該特徵側壁的中途位置之該特徵側壁上之該金屬氮化物層厚度的兩倍。
於一些實施例中,金屬氮化物層於其最厚位置處之厚度介於20至100埃之間。
於一些這樣的實施例中,第二金屬氮化物層於其最厚位置處之厚度小於10埃。
於一些實施例中,方法更包含非共形地處理金屬氮化物層以抑制金屬成核,使得金屬成核於特徵開口附近較於特徵中更遠處受到的抑制程度更大。
於一些這樣的實施例中,非共形處理形成一第二金屬氮化物層。於一些這樣的實施例中,隨著第二金屬氮化物層從特徵開口向特徵底部延伸,第二金屬氮化物層之氮化物含量減少,且第二金屬氮化物層中之氮化物含量小於金屬氮化物層中之氮化物含量。
於一些實施例中,方法更包含於該特徵中沉積一塊狀金屬層,包括直接沉積於金屬氮化物層上。
於一些這樣的實施例中,非共形地處理金屬氮化物層以抑制金屬成核包含輸送氨以及一含金屬前驅物之脈衝至腔室。於一些這樣的實施例中,非共形地處理特徵中之金屬氮化物層更包含輸送乙硼烷之脈衝至腔室。
於一些實施例中,於金屬氮化物層之沉積中之氨與含金屬前驅物之比率高於在金屬氮化物層之非共形處理中之氨與含金屬前驅物之比率。
於一些實施例中,非共形地沉積金屬氮化物層於特徵中包含輸送一含金屬前驅物以及電漿活化之氮物質之脈衝至容納基板之一腔室。
於一些實施例中,非共形地沉積金屬氮化物層於特徵中更包含輸送乙硼烷之脈衝至腔室。
於一些實施例中,非共形地處理金屬氮化物層以抑制金屬成核包含輸送一含金屬前驅物以及電漿活化之氮物質之脈衝至腔室。
本揭露內容之另一態樣涉及一種方法,其包含提供一特徵;進行將特徵曝露於一金屬前驅物、一含硼還原劑以及一含氮化合物之脈衝之一第一操作;進行將特徵曝露於一金屬前驅物、一含硼還原劑以及一含氮化合物之脈衝之一第二操作,其中於第一操作中之氮含量高於第二操作;以及於第一操作以及第二操作之後,將特徵曝露於一金屬前驅物以及氫氣。
以下將參照附圖進一步討論這些以及其它態樣。
所提供的是用金屬薄膜填充特徵之方法。應用包含10nm以下節點之中段製程 (middle of line,MOL) 以及後段製程 (back end of line,BEOL) 之邏輯以及記憶體互連。根據各種實施例,傾斜金屬氮化物層沉積於特徵中。傾斜金屬氮化物層之厚度及/或氮濃度隨特徵深度而減小。於特徵之頂部,傾斜金屬氮化物層可於隨後之平坦化過程中作為黏著層。因為傾斜金屬氮化物層之厚度及/或氮濃度進入特徵中進一步降低,所以它於特徵之中段以及底部佔據較小的體積。這改善了特徵中之電阻率。
於一些實施例中,傾斜氮化物層於特徵之頂部處之特徵開口附近比於進一步特徵內具有更高之氮濃度。於特徵底部及/或特徵中部富含金屬。於一些實施例中,傾斜金屬氮化物層之富含金屬部分作為用於後續之塊狀金屬沉積之成核層。這可允許塊狀金屬於特徵中沉積而不介入成核層沉積。
圖1為處理流程圖,其示出了用金屬填充具有傾斜金屬氮化物層之特徵之方法。該方法首先提供包含特徵之基板,於操作101中,傾斜金屬氮化物層將被沉積於特徵中。基板可提供給半導體處理工具。該特徵可為於介電層中形成之溝槽或通孔。介電材料之示例包含氧化物,例如氧化矽 (SiO 2) 以及氧化鋁 (Al 2O 3);氮化物,例如氮化矽 (SiN);碳化物,例如摻雜氮之碳化矽 (nitrogen-doped silicon carbide,NDC) 以及摻雜氧之碳化矽 (oxygen-doped silicon carbide,ODC);以及低k介電質,例如摻雜碳之SiO 2。金屬可沉積於特徵中,以與下層進行電性接觸。下層之示例包含金屬、金屬矽化物以及半導體。金屬之示例包含Co、Ru、銅 (Cu)、W、Mo、鎳 (Ni)、銥 (Ir)、銠 (Rh)、鉭 (Ta)以及Ti。金屬矽化物之示例包含TiSi x、矽化鎳 (NiSi x)、矽化鉬 (MoSi x)、矽化鈷 (CoSi x)、矽化鉑 (PtSi x)、矽化釕(RuSi x) 以及矽化鎳鉑(NiPt ySi x)。半導體之示例包含有或沒有半導體摻雜物 (例如碳 (C)、砷 (As)、硼 (B)、磷 (P)、錫 (Sn) 以及銻 (Sb)) 之矽 (Si)、矽鍺 (SiGe) 以及砷化鎵 (GaAs)。
特徵通常具有側壁表面以及底表面。於一些實施例中,側壁表面可為與底表面相同的材料。於一些實施方案中,側壁表面可為不同於底表面之材料的材料。舉例而言,底表面可為金屬矽化物,以及側壁表面可為氧化矽,如SiO 2
一旦提供了基板,於操作103中,初始金屬氮化物層被沉積於特徵中。初始金屬氮化物層為傾斜層,且可稱為傾斜金屬氮化物層。傾斜層為在厚度及/或成分上隨著特徵深度變化之層。於一些實施例中,本文所述之傾斜金屬氮化物層隨著特徵深度的增加而逐漸變薄及/或氮含量減少。舉例而言,傾斜層於靠近特徵開口之側壁上可能最厚,且隨著側壁向下以及向特徵底部移動,厚度會減少。這將於下文中進一步詳細討論。
操作103可為電漿操作,或為熱、非電漿操作。傾斜金屬氮化物層可藉由原子層沉積 (atomic layer deposition,ALD) 方法進行沉積。ALD為一種表面介導 (surface-mediated) 之沉積技術,其中一定劑量之反應物被依序導入至沉積腔室。
於一些實施例中,金屬氮化物襯墊是藉由使金屬前驅物與還原劑反應形成金屬層,然後使金屬層氮化形成金屬氮化物而沉積的。舉例而言,氮化鎢可使用乙硼烷 (B 2H 6) 作為還原劑,六氟化鎢 (WF 6) 作為鎢前驅物,氨 (NH 3) 作為氮化劑之ALD順序進行沉積。NH 3可以或不可以與WF 6共流。於一這樣的示例中,順序可為B 2H 6/WF 6/NH 3+WF 6,其中「/」表示分開投料。這些投料可藉由清洗來分開。於一些實施例中,B 2H 6以及WF 6之投料藉由清洗分開,而WF 6以及NH 3+WF 6之間不使用清洗。該序列之多個循環可用於沉積傾斜金屬氮化物層。
於一些實施例中,WF 6與NH 3反應而沒有使用額外的還原劑。於一示例中,使用WF 6/NH 3之順序,「/」表示分開投料。投料可藉由清洗來分開。
於替代實施例中,以氮自由基及/或離子類作為氮化劑,可使用基於電漿之氮化。可使用由含氮氣體 (例如N 2) 所產生之遠端或原位電漿。由N 2所產生之電漿被稱為N 2電漿。於一示例中,沉積順序可為B 2H 6/WF 6/N 2電漿。投料可藉由清洗來分開。其它含有金屬之前驅物可用於鎢或其它金屬 (例如鉬) 之沉積。該順序之多個循環可用於沉積傾斜金屬氮化物層。以下將進一步討論形成金屬氮化物層。
於一些實施例中,傾斜金屬氮化物層於其最厚處可具有20-100或40-60埃之厚度。 一般而言,於側壁上之該層的最厚部分是位元於或靠近特徵開口處。該層之厚度可隨著該層進一步進入特徵而減少。
於一些實施例中,沉積傾斜金屬層涉及使用質量傳輸 (mass transport) 限制條件。質量傳輸限制條件可部分地以整體反應物濃度為特徵。於某些實施方式中,特徵內部之濃度比其開口附近之濃度小,導致開口附近之沉積速率比內部高。這反過來導致了特徵開口附近的選擇性沉積。藉由供應有限量之反應物至處理腔室,同時於特徵開口附近保持相對較高之沉積速率以消耗擴散至特徵中之反應物,可實現質量傳輸限制之處理條件。為了供應有限量之反應物,可使用相對於特徵輪廓以及尺寸之低反應物氣體流速及/或投料時間。
於某些實施方式中,濃度梯度很大,這可能是由相對高之沉積動力學以及相對低之反應物供應所造成的。於某些實施方式中,開口附近之沉積速率亦可能受到質量傳輸的限制,儘管此條件並不是實現選擇性沉積所需的。用以實現質量傳輸限制條件之流速以及投料時間可取決於所使用之特定設備以及被填充之特徵輪廓以及尺寸。
傾斜金屬氮化物層沉積之後,於操作105中沉積了金屬成核層。成核層為一薄層,有利於後續在其上沉積塊狀金屬材料。於成核層沉積中,還原劑、可選之清洗氣體以及含金屬之前驅物之脈衝可按ALD順序依次注入反應腔室並從反應室清洗出來。成核層之厚度可取決於成核層之沉積方法,以及塊狀沉積之所需品質。一般而言,成核層之厚度足以支持高品質、均勻的塊狀沉積。示例可介於10Å-100Å之間的範圍。成核層通常與特徵共形,以均勻的厚度沿著底層特徵。下文將進一步描述沉積成核層。
於金屬成核層沉積之後,可於操作107中對金屬成核層進行可選之非共形處理。金屬成核層之非共形處理是指相對於特徵之內部區域,於特徵之開口處以及附近優先進行處理。
於一些實施例中,非共形處理抑制了金屬成核。成核抑制抑制了後後於已處理表面之金屬成核。它可涉及以下一或多項:抑制膜之沉積,處理物質與金屬膜的反應以形成複合膜,以及抑制物質的吸附。於後續之沉積操作期間,相對於非抑制或較少抑制的部分,於下層薄膜之抑制部分有一成核延遲。於相同或其它實施例中,非共形處理可於已處理表面去除沉積的薄膜。這可能涉及到使蝕刻劑物質與金屬薄膜發生反應,以形成氣態副產品,然後被去除。
於一些實施例中,非共形處理導致第二傾斜金屬氮化物層沉積於特徵中。相對於沿特徵側壁較低之層的厚度,第二金屬氮化物層於特徵開口處具有更大的厚度。於其最厚處,第二傾斜金屬氮化物層可小於15埃。相較於操作103中所沉積之初始傾斜金屬氮化物層,第二傾斜金屬氮化物層可具有更高之氮濃度。
非共形處理可為電漿或熱操作。
於以鎢作為金屬成核層之示例中,可用氨 (NH 3) 脈衝來對W成核層進行非共形地處理。不含鹵素之含氮化學物質可用於抑制或延遲W於W成核層上的生長。其它氣體,例如三氟化氮 (NF 3) 可用於處理W成核層。以NF 3處理既能抑制鎢成核,又能將沉積的鎢從已處理表面上蝕刻掉。氮作為抑制物質,以及氟作為蝕刻劑。更進一步,使用由N 2所產生之電漿的電漿處理可用來抑制金屬成核。下文將進一步描述抑制處理。
於一些實施例中,操作107可使用一順序,例如WF 6/NH 3+WF 6或WF 6/N 2電漿,「/」表示上述反應物脈衝。脈衝可藉由清洗來分開。於一些實施例中,WF 6以及NH 3+WF 6不藉由清洗來分開。該順序之多個循環可用於沉積傾斜金屬氮化物層。於一些實施例中,操作107可涉及曝露於NH 3(有或沒有金屬前驅物) 或曝露於含氮電漿,沒有額外的還原劑或金屬前驅物投料。曝露過程可為連續流動或脈衝式。
於操作109中,進行金屬的塊狀填充。塊狀沉積可能涉及ALD處理,其中金屬前驅物以及還原劑被依序注入反應腔室並從反應腔室被清洗清除。氫氣可作還原劑,以代替強還原劑,例如可用於成核層沉積之乙硼烷 (diborane)。藉由使用氫氣作為還原劑,相較於使用強還原劑 (如乙硼烷) 之填充物,塊狀填充物可較快地沉積,且具有較低之電阻率。
金屬塊狀沉積亦可藉由化學氣相沉積 (chemical vapor deposition,CVD) 來發生,其中含金屬之前驅物以及還原劑被流入沉積腔室,於特徵中沉積塊狀填充層。惰性氣體可用於輸送一或多個反應物流,這些反應物流可為或非預先混合的。與ALD處理不同,CVD處理通常涉及連續流動的反應物,直到沉積出所需的量。於某些實施方式中,CVD操作可分為多個階段進行,其中反應物之連續以及同時流動的多個時期被一或多個轉向之反應物流的時期所隔開。
於一些實施例中,金屬為鎢 (W)。於以W塊狀填充特徵之ALD處理中,W前驅物被脈衝化。於W前驅物被脈衝之後,可進行可選的清洗。氬氣或任何惰性氣體可用來清除腔室中任何未吸附之前驅物。基板被曝露於共反應物中,該共反應物可為還原劑以還原W前驅物,或其它共反應物以與W前驅物反應以形成元素W。反應物可為含氫之反應物。於一些實施例中,含氫反應物可為熱 (非電漿) 氫氣 (H 2)。對於基於電漿之處理,可使用由H 2所產生之遠端或原位電漿。可執行可選的清洗。這些操作可重複進行,直到薄膜完全生長。
圖2示出了於圖1中之方法之實施例的某些操作之後之特徵的示例。於210,操作103中之初始傾斜金屬氮化物層208沉積於特徵中之後,顯示了圖案化特徵202之示例。圖案化特徵202可為,例如,經蝕刻的特徵。圖案化特徵202包含特徵開口205、底表面206以及側壁表面204,其可為氧化物或氮化物。
傾斜金屬氮化物層208於靠近特徵開口222之側壁上具有相對於其在進一步進入特徵中之厚度更大的厚度,例如於特徵之中段224之側壁上。於一些實施例中,傾斜金屬氮化物層可不延伸至特徵之底部226。於其他實施例中,它可延伸至材料之底部226。於這些實施例中,傾斜層沿特徵開口222附近之側壁具有厚度最大的材料,且隨著材料接近底表面206,材料的厚度減少,使得材料沿特徵中段224之側壁之材料厚度比材料沿特徵底部226之側壁204之厚度厚。於本文中,術語「特徵之中段224」代表位於特徵之中間點228左右沿特徵之軸218之特徵的中間部分,例如從特徵之開口測量沿特徵之深度大約25%至75%之距離或,於某些實施例中,大約40%至60%之距離的區域。術語「特徵之底部226」代表特徵之末端部分,其位元於從特徵開口205測量沿特徵之軸218大約75%至100%之距離。術語「靠近特徵之開口222」或「靠近特徵開口」代表特徵之頂部,其位於開口之邊緣232或代表開口邊緣之其它元件之25%以內或,於一些實施例中,10%以內。
於210所示之示例中,傾斜金屬氮化物層208沿著靠近特徵開口222之側壁表面204最厚。隨著傾斜金屬氮化物層208沿側壁表面204向下移動,其厚度減少。於所示之實施例中,傾斜金屬氮化物層208於特徵之中段224結束。於一些實施例中,金屬氮化物層208可沿著側壁表面204延伸至特徵之底部226。於一些實施例中,金屬氮化物層208可沿著側壁表面204延伸至特徵之底部表面206。金屬氮化物層208之厚度隨著金屬氮化物層進一步向下移動至特徵202中而逐漸減少。
於一些實施例中,如210所示,金屬氮化物層208之厚度且有均勻的斜率。於一些實施例中,該厚度可有變化斜率。舉例而言,厚度的斜率可於特徵開口222附近以第一比率減少,且可於特徵之中段224以不同於第一比率之第二比率減少。一般而言,厚度的減少可以是均勻的或不均勻的,且可包含或不包括厚度恆定的區域。
於220,顯示了於成核層212被沉積至特徵中之後的圖案特徵202。於所示之實施例中,成核層212被共形地沉積至特徵中。成核層212沉積於金屬氮化物層208上,直接沉積於沿著側壁表面204之介電表面以及底表面206上。於圖2之示例中,成核層具有大致均勻的厚度。於其它實施例中,厚度可以變化。
於230顯示了於成核層212上進行可選之處理之後的圖案化特徵202。可選之處理是將成核層212曝露於抑制性化學物質中,以便於塊狀金屬沉積期間抑制或延遲沿已處理表面的生長。於所示之實施例中,可選之處理沉積了第二金屬氮化物層214。第二金屬氮化物層214為傾斜層,第二金屬氮化物層214之厚度在沿特徵開口222附近之側壁表面204最厚。隨著第二金屬氮化物層214於特徵中進一步向下,第二金屬氮化物層214的厚度減少。如230所示,第二金屬氮化物層214之厚度以均一的比率減少。於一些實施例中,第二金屬氮化物層214之厚度能夠以不同的比率減少。於所示之實施例中,第二金屬氮化物層214於特徵之中段224結束。於一些實施例中,第二金屬氮化物層214可沿著側壁表面204延伸至特徵之底部226。
不同於傾斜金屬層208,第二金屬氮化物層214可為犧牲性的,且可於後續之塊狀填充之沉積期間被去除。於一些實施例中,它很薄。舉例而言,在其最厚處,它可能只有5埃的厚度。於許多實施例中,它於特徵頂部之厚度小於10埃。
於一些實施例中,圖1之操作107被更好地描述為表面處理,而不是形成第二金屬氮化物層。舉例而言,抑制物質可被物理吸附於下層之金屬成核層上。於這樣的實施例中,處理輪廓可以是沿特徵開口222附近之側壁表面204之表面效應較強,且隨著深度的增加而減少。然而,於一些實施例中,它在成核表面形成氮化物層 (例如WN+BN或MoN),或氮以其它方式結合至成核晶格中。
於240中,所示之圖案化特徵202為開始填充金屬塊之後。塊狀金屬216優先沉積於成核層212之最小抑制部分,例如於底表面206以及特徵之底部226。如圖所示,特徵從底表面206開始填充。特徵之底部一半被金屬填滿。
250所示之圖案化特徵202是在金屬塊狀填充步驟完成之後。塊狀金屬216填充了整個特徵202,且包含覆蓋層。於所示之示例中,塊狀金屬216被沉積於場區域234上,其圍繞基板表面上之特徵202。
於260,顯示了在特徵被平坦化之後之示例圖案化特徵202。平坦化步驟可去除特徵202外側之材料,包含傾斜金屬氮化物層208、成核層212、第二傾斜金屬氮化物層214以及塊狀金屬216。平坦化步驟可為,例如,化學機械平坦化 (chemical mechanical planarization,CMP)。傾斜金屬氮化物層208作為黏著層,防止於CMP期間剝落或爆裂。由於傾斜金屬氮化物層208於特徵之中段以及底部不佔據特徵體積,接觸或線路之電阻得到改善。
圖3為第二處理流程圖,其說明瞭用金屬填充具有傾斜金屬氮化物層之特徵的方法。方法首先提供一基板,其包含一特徵,於操作301中,金屬氮化物層將被沉積於其中。基板可提供給半導體處理工具。特徵可為形成於介電層中之溝槽或通孔。介電材料之示例類似於以上於圖1中所討論的。金屬可沉積於特徵中,以與下層電性接觸。下層之示例類似於以上於圖1中討論的。
特徵一般具有側壁表面以及底表面。於一些實施例中,側壁表面可為與底表面相同的材料。舉例而言,於一些實施例中,側壁表面以及底表面為TiN。於一些實施例中,側壁表面可為與底表面材料不同的材料。舉例而言,底表面可為鎢,且側壁表面可為氧化矽,例如SiO 2
一旦提供了基板,於操作303中,初始的傾斜金屬氮化物層被沉積於特徵中。於一些實施例中,傾斜金屬氮化物層之厚度隨著特徵深度變化。傾斜金屬氮化物層於特徵之頂部靠近特徵開口處較厚,且厚度隨著該層向下進入特徵而減少。於相同或其它實施例中,傾斜金屬氮化物層改變了成分,使得氮含量相對於金屬隨著特徵深度而變化。於這種情況下,相對於金屬含量,氮含量隨著金屬氮化物層進一步向下進入特徵而減少。於其它一些實施例中,隨著特徵深度,金屬氮化物層具有減少的厚度以及相對於金屬減少的氮。
於一些實施例中,傾斜金屬氮化物層在其最厚處可具有20-100或40-60埃的厚度。 一般而言,側壁上該層之最厚部分可於特徵開口附近。該層之厚度可隨著該層進一步進入特徵而減少。
於一些實施例中,側壁上該層之最高氮含量可於特徵開口附近。該層中之氮含量可隨著該層進一步進入特徵而減少。
於傾斜金屬氮化物層沉積後,可於操作305中對初始金屬氮化物層進行可選之非共形處理。金屬氮化物層之非共形處理是指相對於特徵之內部區域,優先施加處理於特徵之開口處以及附近。於一些實施例中,非共形處理可導致第二傾斜金屬氮化物層沉積至特徵中。類似於操作303中所沉積之初始金屬氮化物層,第二金屬氮化物層可隨著該層進一步向下進入特徵而改變厚度及/或成分。於一些實施例中,第二金屬氮化物層可改變厚度,相對於沿特徵側壁較低之金屬氮化物層之厚度,該層於特徵開口處具有較大之厚度。於其最厚處,第二金屬氮化物之厚度可小於15埃。於其它一些實施例中,相對於金屬氮化物層中之金屬,氮含量可隨著該層向下進入特徵而減少。較靠近特徵開口處之氮含量可較大,且氮含量可隨著第二金屬氮化物層沿側壁進入較低之特徵而減少。於這些實施例中,該層之厚度可保持相對地恆定,或者隨著該層向下進入特徵而減少厚度。
第二傾斜金屬氮化物層中之氮總含量可小於初始金屬氮化物層中之氮含量。第二傾斜金屬氮化物層中之氮濃度可小於初始金屬氮化物層中之氮濃度。非共形處理可為電漿或熱操作。
於一些實施例中,非共形處理抑制了金屬成核。以上於圖1之操作107中對成核抑制進行了描述。以下提供進一步的描述。
於操作307中,用金屬進行塊狀填充。塊狀沉積可能涉及ALD處理。金屬塊狀沉積亦可藉由化學氣相沉積 (chemical vapor deposition,CVD)進行。 ALD處理以及CVD處理皆於圖1之操作109中描述。以下提供進一步的描述。
圖4顯示了圖3中之方法之實施例之某些操作之後的特徵之示例。於410,顯示了初始金屬氮化物層408沉積於圖3之操作303之特徵中之後之圖案化特徵402之示例。圖案化特徵402可為,例如,經蝕刻的特徵。圖案化特徵402包含特徵開口405、底表面406以及側壁表面404,它們可為氧化物或氮化物。
於410所示之示例中,金屬氮化物層408為傾斜層。金屬氮化物層408之厚度隨著該層於特徵402向下移動而減少。於所示之實施例中,金屬氮化物層408於特徵之中段424結束。於一些實施例中,金屬氮化物層408可沿側壁表面404延伸至特徵402之底部426。於一些實施例中,金屬氮化物層408可沿側壁表面404延伸至特徵402之底部表面406。
金屬氮化物層408之厚度隨著金屬氮化物層進一步向下移動至特徵402中而逐漸減少。於一些實施例中,如410所示,金屬氮化物層408之厚度具有均勻的斜率。於一些實施例中,金屬氮化物層408之厚度可具有變化的斜率。舉例而言,厚度之斜率可於特徵開口422附近以第一比率減少並且可於特徵之中段424以不同於第一比率之第二比率減少。於其它一些實施例中,金屬氮化物層408可保持相同的厚度,但於金屬氮化物層408中之氮含量 (相對於金屬) 隨著它於特徵402向下移動而減少。舉例而言,相較,金屬氮化物層408中於特徵開口422附近之氮含量相較於特徵中段424之該層中之氮含量為大。於一些實施例中,金屬氮化物層408可隨著該層於特徵402向下移動而減少厚度並減少氮含量。
於420,顯示了於初始金屬氮化物層408上進行可選之處理之後之圖案化特徵402。可選之處理將初始金屬氮化物層408曝露於抑制化學物質,以於塊狀金屬沉積期間抑制或延遲沿已處理表面之生長。於所示之實施例中,可選之處理沉積第二金屬氮化物層414。第二金屬氮化物層414為傾斜層。於一些實施例中,第二金屬氮化物層414之厚度隨著第二金屬氮化物層向下進入特徵而減少。於這些實施例中,第二金屬氮化物層414之最厚部分是沿著特徵開口422附近之側壁表面404。隨著第二金屬氮化物層414於特徵中進一步向下,第二金屬氮化物層414的厚度減少。第二金屬氮化物層414之最厚部分可小於15埃。如430所示,第二金屬氮化物層414之厚度以均勻之比率減少。於一些實施例中,第二金屬氮化物層414之厚度能夠以變化的比率減少。於所示之實施例中,第二金屬氮化物層414於特徵之中段424結束。於一些實施例中,第二金屬氮化物層414可沿著側壁表面404延伸至特徵之底部426。於一些實施例中,第二金屬氮化物層414隨著它向下進入特徵402可為均勻的厚度。於這些實施例中,第二金屬氮化物層414隨著該層進一步進入特徵402而改變成分。
如上所述,第二金屬氮化物層414可隨著該層更深入特徵402而具有較少的氮。於其它一些實施例中,第二金屬氮化物層414可隨著第二金屬氮化物層向下進入特徵402而減少厚度以及氮含量。
於430中顯示開始金屬塊狀填充之後之圖案化特徵402。塊狀金屬416可優先沉積於特徵402之非抑制 (或較少抑制) 的部分,例如於特徵之底表面406以及底部426上。如圖所示,特徵402從特徵402之底部426之底表面406以及側壁表面404填充。於此示例中,金屬生長是從介電材料上開始。隨著特徵402開始填充,金屬416填充特徵之下半部。
於440顯示了於金屬塊狀填充步驟完成之後之圖案化特徵402。塊狀金屬416填充了整個特徵402,且包含覆蓋層。於所示之示例中,塊狀金屬416覆蓋層包含於基板表面上之特徵402以外之區域434上的沉積。
於450,顯示了於特徵被平面化之後之示例圖案化特徵402。平面化步驟可去除特徵402外側之材料,包括初始金屬氮化物層408、第二金屬氮化物層414以及塊狀金屬416。平坦化步驟可為,例如,化學機械平坦化 (CMP)。
於圖3以及圖4中所述之實施例中,於特徵中進行塊狀金屬的沉積,而不沉積單獨之金屬成核層。塊狀金屬直接沉積於傾斜金屬氮化物層以及特徵之任何曝露表面上。特徵之下部富含金屬,且於一些實施例中可作為塊狀填充之成核層。
傾斜金屬氮化物層於頂部可具有較高的氮,而每一層延伸至特徵較深處的氮較少。因此,舉例而言,氮化鎢層的特徵為於靠近特徵開口的點具有成分WN x,而於特徵中更遠的點,例如特徵之中段或底部,具有成分WN y,其中x大於y。氮化鉬層能夠類似地為特徵。於相同或其它實施例中,該層之厚度可隨著深度而減少,從而使該層中之氮總含量亦減少─無論是否有成分變化。以此方式,氮之抑制作用隨特徵深度而減少。
如上所述,於一些實施例中,特徵包含二個傾斜金屬氮化物層。第二傾斜金屬氮化物層之氮可較第一傾斜金屬氮化物層為少。這允許第二傾斜金屬氮化物層能夠有效地抑制成核,而不會使電阻率顯著增加。於一些實施例中,可使用以下順序來沉積二個傾斜金屬氮化物層: 第一傾斜金屬氮化物層:x次 (B 2H 6/清洗/WF 6/NH 3+WF 6) 第二傾斜金屬氮化物層:y次(B 2H 6/清洗/WF 6/NH 3+WF 6)
第一傾斜金屬氮化物層中之NH 3總含量高於第二傾斜金屬氮化物層。因此,當從第一傾斜金屬氮化物層之沉積過渡到第二傾斜金屬氮化物層時,NH 3之一或多個投料時間以及流速可減少。
於一些實施例中,基於電漿之處理用於一層或兩層: 第一傾斜金屬氮化物層:x次 (B 2H 6/清洗/WF 6/清洗/N 2電漿) 第二傾斜金屬氮化物層:y次 (B 2H 6/清洗/WF 6/清洗/N 2電漿)
於第二傾斜金屬氮化物層之沉積中,N 2電漿之持續時間及/或N 2流速較短。NH 3可以或不可以與金屬前驅物共流。於上述順序中,可使用任何適當之金屬前驅物來代替WF 6,且可使用任何適當之還原劑來代替B 2H 6
根據不同實施例,操作103-109以及303-307可於單一腔室或多個腔室中進行。若於多個腔室中進行,任何二個或更多的操作可於單一腔室中進行。可使用單站台或多站台腔室。這些操作可於一或多個站台中進行。於一些實施例中,傾斜金屬襯墊以及填充操作是於單一腔室中進行的。採用相同之金屬前驅物進行金屬氮化物以及金屬填充,有利於有效地利用單一腔室。以下參照圖5以及圖6,對可用於實施本文所述之方法之裝置進行描述。
於上述討論中,提出了金屬成核層沉積、金屬塊狀沉積、金屬氮化物層形成以及抑制處理之示例。以下提供這些處理之進一步的細節,且可於上述方法中實施。 成核層沉積
於一些實施方式中,本文所述之方法涉及於沉積塊狀層之前沉積金屬成核層。金屬成核層為有利於後續於其上沉積塊狀含金屬材料之層。它通常很薄而且是共形的。根據不同的實施方式,金屬成核層可於特徵之任何填充之前及/或於特徵填充期間之後續階段進行沉積。
於某些實施方式中,成核層是使用於特徵中依序加入反應物進行反應之循環處理沉積的。這可為原子層沉積 (ALD) 處理及/或脈衝成核層 (pulsed nucleation layer,PNL)技術。於這種的技術中,還原劑、可選之清洗氣體以及含金屬之前驅物之脈衝被依序注入反應腔室以及被清洗掉。該處理以循環的方式重複進行,直到達到所需之厚度。用於沉積鎢成核層之PNL技術於美國專利號6,635,965;7,005,372;7,141,494;7,589,017;7,772,114;7,955,972以及8,058,170,以及美國專利公開號2010-0267235中有描述,所有這些都藉由引用整體併入本文。
成核層的厚度取決於成核層的沉積方法以及塊狀沉積之所需品質。一般而言,成核層的厚度足以支持高品質、均勻的塊狀沉積。示例可從5Å-100Å之範圍,例如,5Å至30Å。
於某些實施方式中,塊狀層可直接沉積於特徵中,而不使用成核層。舉例而言,於一些實施方式中,特徵表面及/或已經沉積之底層幫助塊狀層沉積。
金屬成核層沉積可包含曝露於含金屬之前驅物 (也稱為金屬前驅物) 以及還原劑之交替脈衝中,並由惰性清洗氣體隔開。對於鎢之沉積,前驅物之示例包括六氟化鎢 (WF 6)。可使用含氯之鎢前驅物 (WCl x),例如五氯化鎢 (WCl 5) 以及六氯化鎢 (WCl 6)。這些前驅物可藉由與還原劑如矽烷 (SiH 4) 以及乙硼烷 (B 2H 6) 反應還原成元素鎢 (W)。
於另一實施例中,金屬前驅物以及還原劑可以共流。如果共流,可使用金屬前驅物以及還原劑以脈衝方式共流的順序。於反應物投料期間,金屬前驅物以及還原劑被共流至反應腔室。共流反應物更類似於CVD反應,這將導致較高之沉積速率以及較粗糙之成核層。可對該順序進行各種修改。舉例而言,金屬前驅物以及還原劑之反應物脈衝可偏移,但一種反應物相對於另一種反應物有延遲的重疊。於另一示例中,惰性氣體於清洗階段可被脈衝化。
還原劑之示例可包含含硼之還原劑 (包含B 2H 6以及其它硼烷)、含矽之還原劑 (包含SiH 4以及其它矽烷)、聯氨 (hydrazine) 以及鍺。於一些實施方式中,含鎢前驅物之脈衝可與一或多種還原劑之脈衝交替進行,例如,S/W/S/W/B/W等,其中W代表含鎢前驅物,S代表含矽前驅物,B代表含硼前驅物。於一些實施方式中,可不使用單獨的還原劑,例如有機金屬含鎢前驅物可進行熱分解或電漿輔助分解。
根據各種實施方式,氫氣可以或不可以於背景流動。此外,於一些實施方式中,鎢成核層之沉積可於鎢塊狀沉積之前進行一或多個處理操作。例如,於美國專利號7,772,114以及8,058,170以及美國專利公開號2010-0267235中描述了處理沉積之鎢成核層以降低電阻率的方法,在此以參考方式引入。
雖然於以上各種示例中描述了鎢,但這些方法也可用其它金屬以及金屬氮化物層來實現,包含鉬 (Mo) 以及氮化鉬 (MoN x),其中x為大於0之數字。
鉬 (Mo) 成核層之沉積可使用鹵化鉬前驅物或氧鹵化鉬前驅物進行。鹵化鉬前驅物之示例包含二氯化鉬 (MoCl 2)、三氯化鉬 (MoCl 3)、四氯化鉬 (MoCl 4)、五氯化鉬 (MoCl 5) 以及六氯化鉬 (MoCl 6)。於一些實施例中,使用MoCl 5或MoCl 6。進一步之示例包含氟化鉬 (MoF 6)。氧鹵化鉬之示例包含二氯化鉬二氧化物 (MoO 2Cl 2)、四氯化鉬氧化物 (MoOCl 4)、四氟化鉬氧化物(MoOF 4)、二溴化鉬二氧化物 (MoO 2Br 2) 以及碘化鉬、MoO 2I以及Mo 4O 11I。
於一些實施方案中,可使用非含氧前驅物來沉積成核層。舉例而言,含氧鉬前驅物中之氧可與含矽或含硼之還原劑反應,以形成MoSi xO y或MoB xO y,其為不純、高電阻率之薄膜。含氧前驅物可於氧結合最小化的情況下使用。
於一些實施例中,還原劑脈衝可於比Mo前驅物脈衝更低之基板溫度下進行。舉例而言,B 2H 6或SiH 4(或其它含硼或含矽之還原劑) 脈衝可於低於300℃之溫度下進行,而Mo脈衝之溫度高於300℃。 塊狀沉積
塊狀沉積可藉由ALD或CVD處理產生。於CVD處理中,還原劑以金屬前驅物共流入沉積腔室,以於特徵中沉積塊狀填充層。惰性載氣可用於輸送一或多個反應物流,這些反應物流可為或不為預混合的。此操作通常涉及連續流入反應物,直到沉積出所需的量。於某些實施方式中,CVD操作可於多個階段中進行,其中反應物連續以及同時流動之多個階段被一或多個反應物轉向的階段所隔開。
塊狀層之ALD沉積涉及使用以上參考成核層沉積所述之金屬前驅物,曝露於由惰性清洗氣體所隔開之含金屬前驅物以及還原劑之交替脈衝。
用於成核層沉積之相同或不同之金屬前驅物可用於塊狀沉積。與使用如乙硼烷或矽烷強之還原劑之成核層沉積相比,氫氣通常是塊狀沉積之還原劑。
沉積可根據不同的實施方式進行,直到達到一定的特徵輪廓及/或沉積了一定量的金屬。於一些實施方式中,沉積時間以及其它相關參數可通藉由建模及/或試誤來確定。於一些實施方式中,處理腔室可配備各種感測器,以執行用於沉積操作之終端檢測的原位計量測量。原位計量學之示例包含用以確定沉積薄膜厚度之光學顯微鏡以及X光螢光 (X-Ray Fluorescence,XRF)。 金屬氮化物之沉積
沉積金屬氮化物層可藉由曝露特徵於熱 (非電漿) 或電漿ALD處理中之金屬前驅物 (例如WF 6)、還原劑以及氮化之循序脈衝來進行。於熱處理之某些實施例中,乙硼烷 (B 2H 6) 被使用作還原劑,以及氨 (NH 3) 為是氮化劑。可使用含硼之還原劑,例如B 2H 6,而不是含矽之還原劑,例如矽烷 (SiH 4),因為後者會導致氮化鎢沉積之成核延遲明顯延長。另一合適之氮化劑之示例為N 2H 4。於一些實施例中,NH 3可與金屬前驅物共流。
於某些實施例中,沉積涉及到含氟前驅物,例如WF 6,金屬前驅物與B 2H 6之比率至少為2.9:1。高WF 6:B 2H 6比率可減少氟的侵蝕。發生這種情況的機制是藉由減少還原劑吸附於表面上之位置數量,從而減少WF 6反應之位置數量。因此,儘管於沉積腔室中有較多之氟物質,但於表面附近會有較少的氟反應。於一些實施例中,還原劑能夠以惰性氣體進行稀釋。
用於沉積之示例基板溫度範圍包含250℃至400℃,例如250℃至350℃。於一些實施例中,使用相對較低之溫度以改善步驟覆蓋率。於一些實施例中,WN表面可於沉積之後進行脫鹵處理。表面之脫鹵可涉及曝露表面於由含氫氣體,如H 2,所產生之電漿中。於一些實施例中,電漿是由Ar/H 2混合物或N 2/H 2混合物所產生的。電漿中之H自由基與氟雜質反應以形成HF氣體,其可從處理腔室中排出。
金屬氮化物之沉積涉及電漿增強沉積。於一些實施例中,可使用由含氮氣體 (如N 2) 所產生之遠端或原位電漿。活性物質,例如原子氮或氮離子可與金屬前驅物反應。根據不同之實施例,可使用以下一或多個順序來沉積金屬氮化物層。 B 2H 6(或其它還原劑)/金屬前驅物/NH 3B 2H 6(或其它還原劑)/金屬前驅物/NH 3+金屬前驅物 B 2H 6(或其它還原劑)/金屬前驅物/N電漿物質
於一些實施例中,還原劑為氨 (NH 3) 或其它含氮還原劑,例如聯氨 (N 2H 4)。於這樣的實施例中,含氮還原劑可同時作為還原劑以及氮化劑。於這樣的實施例中,含氮還原劑可與金屬前驅物共流或脈衝。
金屬氮化物層中之氮含量可有變化。因此,術語金屬氮化物 (或氮化鎢、氮化鉬等) 並非建議金屬與氮之特定比例。同樣地,WN以及MoN可用來表示任何適當之W:N或Mo:N比例之氮化鎢以及氮化鉬。 金屬成核之抑制
如以參考方式所引用而納入本文之美國專利申請第13/774,350號中所述,選擇性抑制可涉及曝露於使特徵表面鈍化之活性物質。舉例而言,於某些實施方式中,鎢 (W) 表面或鉬 (Mo)可藉由曝露於氮基或氫基電漿中而被鈍化。於一些實施方式中,抑制可涉及活性物質以及特徵表面之間之化學反應,以形成一個複合材料之薄層,如氮化鎢 (WN) 或碳化鎢 (WC)。於一些實施方式中,抑制可涉及一種表面效應,如吸附,其使表面鈍化而不形成化合物材料層。活性物質可藉由任何適當的方法形成,方法包含電漿產生及/或曝露於紫外線 (UV) 輻射。於一些實施方式中,包含特徵之基板曝露於電漿中,電漿由一或多種送入基板所在腔室之氣體所產生。於一些實施方式中,一或多種氣體可被送入遠端之電漿產生器,於遠端之電漿產生器中所形成之活性物質被送入基板所在之腔室中。電漿源可為任何類型的來源,包含射頻 (radio frequency,RF) 電漿源或微波源。電漿可為電感式及/或電容式耦合的。活性物質可包含原子性物質、自由基物質以及離子性物質。於某些實施方式中,曝露於遠端產生之電漿包含曝露於自由基以及原子化物質,電漿中實質上沒有離子物質存在,因此抑制處理不是由離子介導的 (ion-mediated)。於其它實施方式中,遠端產生之電漿中可能存在離子物質。於某些實施方式中,曝露於原位電漿中涉及離子介導的抑制。
對於鎢 (W) 以及鉬 (Mo) 表面,曝露於氮基及/或氫基電漿中會抑制後續之沉積。可用於抑制這些表面之其它化學物質包含氧基電漿以及碳氫化合物基電漿。舉例而言,分子氧或甲烷可被引入至電漿產生器中。如本文所使用的,氮基電漿為一種電漿,其中主要之非惰性成分為氮。惰性成分如氬氣、氙氣或氪氣可被使用作為載氣。於一些實施方式中,除了微量外,產生電漿之氣體中不存在其它非惰性成分。於一些實施方式中,抑制化學物質可為含氮的、含氫的、含氧的及/或含碳的,且於電漿中存在一或多種額外之反應物質。
舉例而言,於美國專利申請第13/351,970號中,描述了特徵表面之氮化以選擇性地鈍化表面。舉例而言,使用NF 3電漿,其中活性氟自由基於特徵開口處與鎢反應並去除鎢,NF 3電漿所產生之氮可導致鎢表面的氮化而形成氮化鎢。相較於一般的塊狀鎢薄膜上,隨後於氮化表面上之鎢沉積會明顯延遲。較長的延遲允許特徵於關閉之前保持較長時間的開口。這改善了填充,因為更多的WF 6分子可以到達特徵的內部並沉積鎢。除了NF 3之外,亦可使用碳氟化合物,例如CF 4或C 2F 8。然而,於某些實施方式中,抑制物質是不含氟的,以防止於選擇性抑制期間發生蝕刻。
於某些實施方式中,可使用UV輻射及/或熱能來代替或補充電漿產生器以提供活性物質。除了鎢表面外,亦可於襯墊/阻擋層表面抑制成核,例如TiN和/或WN表面。任何能使這些表面鈍化之化學物質皆可使用。對於TiN以及WN,這可包含曝露於氮基或含氮之化學物質中。於某些實施方式中,上述針對W之化學物質亦可用於TiN、WN或其它襯墊層表面。
調整抑制輪廓可包含適當地控制抑制化學物質、基板偏壓功率、電漿功率、處理壓力、曝露時間以及其它處理參數。對於原位電漿處理 (或其它存在離子物質之處理),可施加偏壓於基板。於一些實施方案中,基板偏壓可顯著影響抑制輪廓,增加偏壓功率會導致活性物種更深入特徵內。對於3-D結構,其於橫向方向上需要選擇性 (鎢沉積於結構內部較佳),但於垂直方向上不需要,增加偏壓功率可用來促進從上至下之沉積均勻性。
於某些實施方式中,雖然偏壓功率可作為主要或唯一的旋鈕來調整離子物種之抑制輪廓,但於某些情況下,其它執行選擇性抑制除了使用偏壓功率外,還使用其它參數,或代替偏壓功率。這些包含遠端所產生的非離子性電漿處理以及非電漿處理。另外,於許多系統中,基板偏壓可很容易地應用於調整垂直方向之選擇性,而不是橫向方向。因此,對於需要橫向選擇性之3-D結構而言,可控制除偏壓以外之參數,如上所述。
抑制化學物質亦可用來調整抑制輪廓,使用不同比率之活性抑制物質。舉例而言,對於W表面之抑制,氮可比氫具有更強之抑制作用;調整形成基於氣體之電漿中之N 2以及H 2氣體之比率可用來調整輪廓。電漿功率亦可用於調整抑制輪廓,藉由電漿功率所調整之活性物種的不同比例。舉例而言,於本文所述之某些實施方式中,可藉由改變電漿功率來調變氮自由基的形成以及由此所產生之W-N的形成以及相關之鈍化效果。改變電漿功率亦可控制最終W薄膜之電阻率。舉例而言,於低功率下使用NF 3之遠端產生之電漿蝕刻可能會導致於隨後之沉積中比高功率遠端產生之電漿蝕刻減少成核延遲 (更快成核)。這可能是由於在高電漿功率蝕刻期間存在較多之氮物質,因而增加了WN的形成以及隨後的延遲。
處理壓力可用於調整輪廓,因為壓力可導致更多的重組 (使活性物質失活),以及將活性物質進一步推入特徵中。處理時間亦可用於調整抑制輪廓,增加處理時間會使抑制更深入特徵中。
於一些實施方式中,選擇性抑制可藉由質量傳輸限制 (mass transport limited) 方案來實現。於此方案中,特徵內之抑制率受到擴散至特徵內之不同抑制材料成分 (例如初始抑制物質、活性抑制物質以及重組抑制物質) 之數量及/或相對組成的限制。於某些示例中,抑制率取決於各種成分於特徵內部之不同位置的濃度。
質量傳輸的限制條件能夠部分地以整體的抑制濃度變化為特徵。於某些實施方式中,特徵內部之濃度比其開口附近的濃度低,導致開口附近之抑制率比內部高。這反過來導致了特徵開口附近的選擇性抑制。質量傳輸限制之處理條件可藉由供應有限量之抑制物質至處理腔室來實現 (例如相對於腔體輪廓以及尺寸,使用低抑制氣體流速),同時於特徵開口附近保持相對較高之抑制率,以消耗一些擴散至特徵中之活性物質。於某些實施方式中,濃度梯度很大,這可能造成相對高的抑制動力學以及相對低的抑制供應。於某些實施方式中,開口附近的抑制率也可能受到質量傳輸的限制,儘管這種情況不是實現選擇性抑制的必要條件。
除了特徵內部之整體抑制濃度的變化外,選擇性抑制可能受到整個特徵內不同抑制物質之相對濃度的影響。這些相對濃度又可取決於抑制物質之解離以及重組過程之相對動態。如上所述,初始抑制材料,例如分子氮,可通過遠端電漿產生器及/或受到原位電漿的影響,以產生活性物質 (例如原子氮、氮離子)。然而,活性物質可能會重組成活性較低之重組物質 (例如氮分子) 及/或與W、WN、TiN或沿其擴散路徑之其它特徵表面反應。因此,特徵之不同部分可曝露於不同濃度之不同抑制材料中,例如初始抑制氣體、活性抑制物質以及重組之抑制物質。這為控制選擇性抑制提供了額外的機會。舉例而言,活性物質通常較初始抑制氣體以及重組的抑制物質更具反應性。此外,於某些情況下,活性物質對於溫度變化的敏感性可能低於重組物質。因此,處理條件能夠以去除主要歸因於活性物種的方式進行控制。如上所述,一些物質可能比其它物質更具反應性。此外,特定之處理條件可能導致活性物質於特徵開口附近的濃度高於特徵內部的濃度。舉例而言,一些活性物質可能被消耗 (例如,與特徵表面材料反應及/或吸附於表面上) 及/或於擴散更深入特徵時被重組,特別是在小的高深寬比特徵中。活性物質的重組也可能發生於特徵之外,例如於噴淋頭或處理腔室中,且可能取決於腔室壓力。因此,可特別控制處理腔室的壓力,以調整處理腔室以及特徵之不同位置之活性物質的濃度。
抑制氣體的流速可取決於腔室的大小、反應速率以及其它參數。可選擇一個流速,使較多的抑制材料集中於開口附近而不是於特徵內部。
於某些實施方式中,於選擇性抑制之前,可對基板進行加熱或降溫。可以選擇基板之預定溫度,以誘導特徵表面以及抑制物質之間之化學反應及/或促進抑制物質的吸附,以及控制反應或吸附的速率。舉例而言,可以選擇一個溫度,使其具有較高之反應速率,從而於開口附近較於特徵內部發生更多的抑制。此外,亦可選擇一個溫度來控制活性物質的重組 (例如,原子氮重組為分子氮) 及/或控制哪些物質 (例如,活性或重組物質) 對抑制作用有主要的貢獻。於某些實施方式中,基板被保持在低於約300℃,或更具體地低於約250℃,或低於約150℃,甚至低於約100℃。於其它實施方式中,基板被加熱至約300℃以及450℃之間,或者,於更具體之實施方式中,至約350℃以及400℃之間。其它溫度範圍可用於不同類型之抑制化學物質。亦可選擇曝露時間以引起選擇性抑制。根據所需之選擇性以及特徵深度,曝露時間可從10s至500s不等。 裝置
圖5描繪了具有用於維持低壓環境之處理腔室502之ALD處理站台500之實施例之示意圖。於一些實施例中,多個ALD處理站台可被包含於一個共同的低壓處理工具環境中。舉例而言,圖6描述了多站台處理工具500之實施例。於一些實施例中,ALD處理站台500之一或多個硬體參數,包含以下面詳細討論的那些,可由一或多個電腦控制器550以編寫程式方式進行調整。於其它一些實施例中,處理腔室可為單站台腔室。
ALD處理站台500與反應物輸送系統501a流體連通,用以輸送處理氣體至分配噴淋頭506。反應物輸送系統501a包含混合容器504,用於混合及/或調節處理氣體,例如金屬前驅物氣體、含氫氣體、氬氣或其它載氣,或其它含反應物的氣體,以輸送至噴淋頭506。一或多個混合容器520可以控制將處理氣體引入混合容器504。於各種實施例中,初始金屬氮化物傾斜層之沉積於處理站台500中進行,且於一些實施例中,其它操作例如抑制及/或金屬間隙填充可於多站台處理工具500之相同或另一站台中進行,如下文關於圖6之進一步描述。
作為一示例,圖5之實施例包含用於汽化要供應至混合容器504之液體反應物之汽化點603。於一些實施例中,汽化點603可為加熱的汽化器。於一些實施例中,液體前驅物或液體反應物可於液體注入器 (未顯示) 處汽化。舉例而言,液體注入器可將液體反應物脈衝注入混合容器504上游的載氣流中。於一實施例中,液體注入器可藉由將液體從較高壓力閃釋至較低壓力來汽化反應物。於另一示例中,液體注入器可將液體霧化為分散的微滴,隨後於加熱的管路中汽化。較小之液滴可比較大之液滴更快地汽化,減少液體注入以及完全汽化之間的延遲。更快的汽化可以減少汽化點603下游之管路長度。於一情境中,液體注入器可直接安裝於混合容器504。於另一情境中,液體注入器可直接安裝於噴淋頭506。
於一些實施例中,可提供汽化點603上游之液體流量控制器(liquid flow controller,LFC),以控制用於汽化之液體之質量流量並輸送至處理腔室502。舉例而言,LFC可包含位於LFC下游之熱質量流量計 (mass flow meter,MFM)。然後,LFC之柱塞閥可根據與MFM電性通訊之比例-積分-微分 (proportional-integral-derivative,PID) 控制器所提供之回饋控制信號進行調整。然而,使用回饋控制可能需要一秒或更長的時間來穩定液體流量。這可能會延長液體反應物的投料時間。因此,於一些實施例中,LFC可於回饋控制模式以及直接控制模式之間動態切換。於一些實施例中,這可藉由停用LFC以及PID控制器之感測管來進行。
噴淋頭506向基板512分配處理氣體。於圖5所示之實施例中,基板512位於噴淋頭506之下方,且顯示為擱置於基座508上。噴淋頭506可具有任何合適的形狀,且可有任何合適數量以及排列的埠口,用以將處理氣體分配至基板512。
於一些實施例中,基座508可升高或降低,使基板512曝露於基板512以及噴淋頭506之間之體積中。於一些實施例中,基座508可經由加熱器510進行溫度控制。基座508可被設置為任何合適的溫度,例如於執行各種所揭露之實施例之操作期間,在約300℃以及約500℃之間。可以理解的是,於一些實施例中,基座高度可由合適的電腦控制器550以編寫程式方式調整。於處理階段結束時,基座508可於另一基板轉移階段期間被降低,以允許從基座508移除基板512。
於一些實施例中,噴淋頭506之位置可相對於基座508進行調整,以改變基板512以及噴淋頭506之間的體積。此外,可以理解的是,基座508及/或噴淋頭506之垂直位置可藉由本揭露內容範圍內之任何合適的機制來改變。於一些實施例中,基座508可包含用於旋轉基板512方向之旋轉軸。可以理解的是,於一些實施例中,這些示例調整中之一或多個可由一或多個合適之電腦控制器550以編寫程式方式執行。電腦控制器550可包含以下關於圖6之控制器6029所描述的任何特徵。
於一些如上所述可使用電漿之實施例中,噴淋頭506以及基座508與射頻 (radio frequency,RF) 電源514以及匹配網路516電性通訊,以便為電漿供電。於一些實施例中,電漿能量可藉由控制處理站台壓力、氣體濃度、RF源功率、RF源頻率以及電漿功率脈衝時間中之一或多個來控制。舉例而言,RF電源514以及匹配網路516可於任何合適之功率下進行操作,以形成具有所需自由基物質組成之電漿。同樣地,RF電源514可提供任何合適頻率之RF功率。於一些實施例中,RF電源514可被配置為彼此獨立地控制之高頻以及低頻RF電源。示例之低頻RF頻率可包含,但不限於0kHz以及900kHz之間之頻率。示例之高頻RF頻率可包含,但不限於1.8MHz以及2.45GHz之間之頻率,或大於約13.56MHz,或大於27MHz,或大於80MHz,或大於60MHz。可以理解的是,任何合適的參數都可被離散地或連續地調變,以便為表面反應提供電漿能量。
於一些實施例中,電漿可由一或多個電漿監測器進行原位監測。於一情境中,電漿功率可由一或多個電壓、電流感測器 (例如VI探針) 監測。於另一情境中,電漿密度及/或處理氣體濃度可由一或多個光學放射光譜感測器 (optical emission spectroscopy sensor,OES) 測量。於一些實施例中,一或多個電漿參數可根據來自這種原位電漿監測器之測量結果進行程式化調整。舉例而言,OES感測器可用於反饋迴路,以提供電漿功率之程式化控制。可以理解的是,於一些實施方案中,可使用其它監測器來監測電漿以及其它處理特性。這些監測器可包含,但不限於紅外線 (IR) 監測器,聲學監測器以及壓力傳感器。
於一些實施例中,遠端電漿產生器與噴淋頭506流體連接。氣體可被送入遠端電漿產生器,以產生電漿物質,然後可被送入腔室502。
於一些實施例中,控制器550之指令可經由輸入/輸出控制 (input/output control,IOC) 循序指令提供。於一示例中,用於設定處理階段之條件之指令可包含於處理配方之相對應配方階段中。於一些情況下,處理配方階段可循序排列,以便處理階段之所有指令與處理階段同時執行。於一些實施例中,用於設定一或多個反應器參數之指令可包含於配方階段中。舉例而言,第一配方階段可包含用於設定惰性氣體及/或反應氣體 (例如金屬前驅物或氨) 之流量之指令、用於設定載氣 (例如氬氣) 之流量之指令以及第一配方階段之時間延遲指令。第二,後續配方階段可包含用於調變或停止惰性氣體及/或反應物氣體流速之指令,以及用於調變載氣或清洗氣體流速之指令以及第二配方階段之時間延遲指令。第三配方階段可包含用於調變如氨氣或氮氣之第二反應氣體之流速之指令、用於調變載體或清洗氣體流速之指令、用於點燃電漿之指令以及第三配方階段之時間延遲指令。第四,隨後之配方階段可包含用於調變或停止惰性氣體及/或反應氣體之流速之指令,以及用於調變載體或清洗氣體之流速之指令以及第四配方階段之時間延遲指令。可以理解的是,這些配方階段可於本揭露內容之範圍內以任何合適的方式進一步細分及/或重複。
此外,於一些實施例中,處理站台500之壓力控制可由蝶閥518提供。如圖6之實施例所示,蝶閥518對下游真空泵 (未顯示) 所提供之真空進行節流。然而,於一些實施例中,處理站台500之壓力控制亦可藉由改變引入處理站台500之一或多種氣體之流速來調整。
圖6為適合於根據實施例進行沉積處理之處理系統之示意圖。系統6000包含轉移模組6003。轉移模組6003提供了乾淨的、加壓的環境,以最小化被處理基板於不同反應器模組之間移動時被污染之風險。安裝於轉移模組6003上的是能夠根據各種實施例進行ALD、處理以及CVD之多站台反應器6009。多站台反應器6009可包多個站台6011、6013、6015及6017,其可根據所揭露之實施例依序進行操作。舉例而言,多站台反應器6009可被配置為站台6011使用鎢前驅物以及含硼或矽之還原劑進行鎢成核層沉積,站台6013進行傾斜金屬氮化物層之ALD沉積,站台6015進行抑制處理操作或第二傾斜金屬氮化物層之沉積,以及站台6017可使用H 2作為還原劑處理之後進行塊狀ALD填充。
站台可包含加熱的基座或基板支架、一或多個氣體入口或噴淋頭或分散板。
回到圖6,同樣安裝於轉移模組6003上的可為一或多個單站台或多站台模組6007,能夠進行電漿或化學 (非電漿) 預清洗、其它沉積操作、或蝕刻操作。該模組亦可用於各種處理,例如,為沉積處理準備基板。系統6000亦包含一或多個晶圓來源模組6001,晶圓在處理之前以及之後被儲存於此。大氣轉移腔室6019中之大氣機器人 (未示出) 可先將晶圓從來源模組6001中移動至裝載鎖6021。轉移模組6003中之晶圓轉移裝置 (一般為機械臂單元) 將晶圓從裝載鎖6021移動至安裝於轉移模組6003上之模組或於安裝於轉移模組6003上之模組之間移動。
於各種實施例中,採用系統控制器6029來控制沉積期間之處理條件。控制器6029通常包含一或多個記憶體裝置以及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進電機控制器板等。
控制器6029可控制沉積設備之所有活動。系統控制器6029執行系統控制軟體,包含用於控制定時、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻 (RF) 功率準位、晶圓卡盤或基座位置以及特定處理之其它參數之指令集。於一些實施例中,可採用儲存於與控制器6029相關聯之記憶體裝置上之其它電腦程式。
一般而言,會有與控制器6029相關聯之使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件之圖形軟體顯示以及使用者輸入裝置,例如指向性裝置、鍵盤、觸控螢幕、麥克風等。
系統控制邏輯能夠以任何合適的方式進行配置。 一般而言,該邏輯可於硬體及/或軟體中設計或配置。用於控制驅動電路之指令可為硬編碼或作為軟體提供。指令可藉由「程式設計 (programming)」提供。這樣的程式設計被理解為包含任何形式的邏輯,其包含數位訊號處理器中之硬編碼邏輯、特殊應用積體電路以及其它具有作為硬體實現之特殊演算法之裝置。程式設計亦被理解為包含可於通用處理器上執行之軟體或韌體指令。系統控制軟體可用任何合適的電腦可讀取程式設計語言進行編碼。
用於控制含鍺還原劑脈衝、氫氣流量以及含鎢前驅物脈衝、以及處理序列中其它處理之電腦程式碼可用任何傳統之電腦可讀取程式設計語言編寫:例如,組合語言、C、C++、Pascal、Fortran或其它。已編譯之目標程式碼或腳本由處理器執行,以執行程式中標識的任務。亦如所示,程式碼可為硬編碼的。
控制器參數與處理條件有關,舉例而言,如處理氣體成分以及流速、溫度、壓力、冷卻氣體壓力、基板溫度以及腔室壁溫度。這些參數是以配方的形式提供給使用者,且可利用使用者介面輸入。
用於監測處理之信號可由系統控制器6029之類比及/或數位輸入連接提供。用於控制處理之信號於設備之類比及數位輸出介面上輸出。
系統軟體能夠以許多不同的方式進行設計或配置。舉例而言,可編寫各種腔室元件子程序 (subroutine) 或控制物件,以控制根據所揭露之實施例進行沉積處理所需之腔體元件之操作。用於此目的之程式或程式段之示例包含基板定位程式碼、處理氣體控制程式碼、壓力控制程式碼以及加熱器控制程式碼。
於一些實施方式中,控制器6029為系統之一部分,其可為上述示例之一部分。這些系統可包含半導體處理設備,包含一或多個處理工具、一或多個腔室、一或多個用於處理之平台及/或特定之處理組件 (晶圓基座、氣體流量系統等)。這些系統可與用於在半導體晶圓或基板之處理之前、期間以及之後控制它們的操作之電子設備整合。電子設備可被稱為「控制器」,其可控制一或多個系統之各種組件或子部分。根據處理需求及/或系統的類型,控制器6029可被編程以控制本文所揭露之任何處理,包含處理氣體之輸送、溫度設定 (例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、於一些系統中之RF產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓傳入或傳出之工具及其它傳輸工具、及/或連接至特定系統或與特定系統界接之裝載鎖。
廣義地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體之電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量以及類似者。積體電路可包含儲存程式指令之韌體形式之晶片、數位信號處理器 (digital signal processor,DSP)、定義為特殊應用積體電路 (application specific integrated circuit,ASIC) 之晶片及/或一或多個微處理器、或執行程式指令 (例如軟體) 之微控制器。程式指令可為以各種單獨設定 (或程式檔案) 之形式與控制器通訊之指令、定義用以於半導體晶圓上或為半導體晶圓或系統執行特定處理之操作參數。於一些實施例中,操作參數可為處理工程師所定義之配方之一部分,以於製造晶圓之一或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶粒期間完成一或多個處理步驟。
於一些實施方式中,控制器6029可為與系統整合之電腦之一部分或耦合至電腦、耦合至系統、以其它方式連網至系統或其組合。舉例而言,控制器6029可於「雲端」中或晶圓廠主機電腦系統之全部或一部分中,這可允許晶圓處理之遠端存取。電腦可實現對系統之遠端存取,以監控製造操作之當前進度、檢查過去製造操作之歷史、檢查來自多個製造操作之趨勢或效能指標、改變當前處理之參數、設定處理步驟以遵循當前處理,或開始新的處理。於一些示例中,遠端電腦 (例如伺服器) 可經由網路向系統提供處理配方,該網路可包含區域網路或網際網路。遠端電腦可包含能夠輸入或編程參數及/或設定之使用者界面,然後將其從遠端電腦傳送到系統。於一些示例中,控制器接收資料形式之指令,其為要在一或多個操作期間執行之每一處理步驟之指定參數。可以理解的是,參數可具體為要執行之處理類型以及工具類型,其控制器被配置為與之溝通或控制。因此,如上所述,控制器可為分散式的,例如藉由包含一或多個獨立由網路連接且為共同目的工作之控制器,例如本文所述之處理以及控制。用於這種目的之分散式控制器之示例為腔室上之一或多個積體電路,其與一或多個位於遠端之積體電路 (例如於平台級別或作為遠端電腦之一部分) 通訊,這些積體電路結合起來以控制腔室中之處理。
不限於此,示例之系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積 (physical vapor deposition,PVD) 腔室或模組、化學氣相沉積 (CVD) 腔室或模組、原子層沉積 (ALD) 腔室或模組、原子層蝕刻 (atomic layer etch,ALE) 腔室或模組、離子植入腔室或模組、徑跡腔室 (track chamber) 或模組以及可與半導體晶圓之生產及/或製造相關的或使用的任何其它半導體處理系統。
如以上所提及,取決於藉由工具而待執行之一或多個處理步驟,控制器可與半導體製造工廠中之一或更多以下者進行通訊:其它工具電路或模組、其它工具元件、叢集工具(cluster tool)、其它工具界面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中所使用之工具,該材料輸送中所使用之工具在半導體製造廠中將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。
控制器6029可包含各種程式。基板定位程式可包含用於控制腔室組件之程式碼,這些組件用於將基板裝載至基座或卡盤上,且控制基板與腔室之其它部分之間之間隔,例如氣體入口及/或目標。處理氣體控制程式可包含控制氣體成分、流速、脈衝時間以及可選地用於在沉積之前將氣體流入腔室中以穩定腔室中之壓力之程式碼。壓力控制程式可包含用於藉由調節例如腔室之排氣系統中之節流閥來控制腔室內之壓力之程式碼。加熱器控制程式可包含用於控制至用於加熱基板之加熱單元之電流之程式碼。或者,加熱器控制程式可控制熱傳氣體之輸送,如氦氣至晶圓卡盤。
於沉積期間可監測之腔室感測器之示例包含質量流量控制器、壓力感測器 (例如壓力計) 以及位於基座或卡盤中之熱電偶。適當程式設計之回饋以及控制演算法可與來自這些感測器之資料一起使用,以維持所需之處理條件。
上述內容描述了於單一或多個腔室半導體處理工具中之所揭露實施例。本文所述之設備以及處理可與微影圖案工具或處理結合使用,舉例而言,用於製造或生產半導體裝置、顯示器、LED、光電板等。通常,儘管不一定,這些工具/處理將於一個共同的製造設施中一起使用或進行。薄膜之微影圖案通常包含以下一些或全部步驟,每一步驟都有一些可能的工具: (1) 使用旋轉式或噴霧式工具施加光阻於工件上,例如基板;(2) 使用熱板或爐子或紫外線固化工具固化光阻;(3) 使用諸如晶圓步進器之工具將光阻曝露於可見光或紫外線或X射線下;(4) 對抗蝕劑進行顯影,以便選擇性地去除抗蝕劑,從而使用如濕式清洗台之工具對其進行圖案化;(5) 藉由使用乾式或電漿輔助蝕刻工具將抗蝕劑圖案轉移至下層之薄膜或工件中;以及 (6) 使用如RF或微波電漿抗蝕劑剝離器之工具去除抗蝕劑。
101:步驟 103:步驟 105:步驟 107:步驟 109:步驟 202:特徵 204:側壁表面 205:特徵開口 206:底表面 208:金屬氮化物層 212:成核層 214:第二傾斜金屬氮化物層 216:塊狀金屬 218:軸 222:特徵開口 224:特徵中段 226:特徵底部 228:特徵中間點 232:邊緣 234:場區域 301:步驟 303:步驟 305:步驟 307:步驟 402:特徵 404:側壁表面 405:特徵開口 406:底表面 408:初始金屬氮化物層 414:第二金屬氮化物層 416:塊狀金屬 422:特徵開口 424:特徵中段 426:特徵底部 434:區域 500:處理站台 501a:反應物輸送系統 502:腔室 504:混合容器 506:噴淋頭 508:基座 510:加熱器 512:基板 514:射頻電源 516:匹配網路 518:蝶閥 520:蝶閥 550:控制器 603:汽化點 6000:系統 6001:來源模組 6003:轉移模組 6007:站台模組 6009:多站台反應器 6011:站台 6013:站台 6015:站台 6017:站台 6019:腔室 6021:裝載鎖 6029:控制器
圖1以及圖3為流程圖,其示出了根據各種實施例之方法中之某些操作。
圖2以及圖4為示意圖,其示出了根據各種實施例之於填充處理期間之特徵之剖面描繪。
圖5以及圖6示出了可用於進行本文所述之方法之裝置之示例。
202:特徵
204:側壁表面
205:特徵開口
206:底表面
208:金屬氮化物層
212:成核層
214:第二傾斜金屬氮化物層
216:塊狀金屬
218:軸
222:特徵開口
224:特徵中段
226:特徵底部
228:特徵中間點
232:邊緣
234:場區域

Claims (24)

  1. 一種用金屬薄膜填充特徵之方法,包含: 提供一基板,其包含具有一特徵開口、一特徵底部以及特徵側壁之一特徵;以及 非共形地沉積一金屬氮化物層於該特徵中,使得將該金屬氮化物層加襯於該特徵側壁之至少一部分,且該金屬氮化物層的厚度及/或氮濃度沿著該特徵側壁隨著該金屬氮化物層從該特徵開口向該特徵底部延伸而隨之減小。
  2. 如請求項1所述之方法,更包含於沉積該金屬氮化物層之後,沉積一金屬成核層於該特徵中。
  3. 如請求項2所述之方法,更包含非共形地處理該金屬成核層,以抑制金屬成核,其中該特徵側壁上之金屬成核於該特徵開口附近相較於該特徵底部附近受到較大程度的抑制。
  4. 如請求項2所述之方法,更包含沉積一塊狀金屬層於該金屬成核層上。
  5. 如請求項1所述之方法,其中該金屬為鎢或鉬。
  6. 如請求項1所述之方法,其中非共形地沉積該金屬氮化物層於該特徵中的步驟包含輸送氨 (NH 3) 以及一含金屬前驅物之脈衝至容納該基板之一腔室。
  7. 如請求項6所述之方法,其中非共形地沉積該金屬氮化物層於該特徵中的步驟更包含輸送乙硼烷 (B 2H 6) 脈衝至該腔室。
  8. 如請求項1所述之方法,其中非共形地沉積該金屬氮化物層於該特徵中的步驟包含輸送一含金屬前驅物以及電漿活化之氮物質之脈衝至容納該基板之一腔室。
  9. 如請求項8所述之方法,其中非共形地沉積該金屬氮化物層於該特徵中的步驟更包含輸送乙硼烷 (B 2H 6) 脈衝至該腔室。
  10. 如請求項1所述之方法,其中於該特徵開口附近之該特徵側壁上之該金屬氮化物層之厚度至少為在該特徵開口與該特徵底部之間沿該特徵側壁的中途位置之該特徵側壁上之該金屬氮化物層厚度的兩倍。
  11. 如請求項1所述之方法,其中該金屬氮化物層於其最厚位置處之厚度介於20至100埃之間。
  12. 如請求項3所述之方法,其中該非共形處理形成一第二金屬氮化物層。
  13. 如請求項12所述之方法,其中該第二金屬氮化物層於其最厚位置處之厚度小於10埃。
  14. 如請求項1所述之方法,更包含非共形地處理該金屬氮化物層以抑制金屬成核,使得金屬成核於該特徵開口附近相較於該特徵中更遠處受到的抑制程度更大。
  15. 如請求項14所述之方法,其中該非共形處理形成一第二金屬氮化物層。
  16. 如請求項15所述之方法,其中隨著該第二金屬氮化物層從該特徵開口向該特徵底部延伸,該第二金屬氮化物層之氮化物含量減少,且該第二金屬氮化物層中之氮化物含量小於該金屬氮化物層中之氮化物含量。
  17. 如請求項1所述之方法,更包含於該特徵中沉積一塊狀金屬層,包括直接沉積於金屬氮化物層上。
  18. 如請求項14所述之方法,其中非共形地處理該金屬氮化物層以抑制金屬成核的步驟包含輸送氨以及一含金屬前驅物之脈衝至一腔室。
  19. 如請求項18所述之方法,其中非共形地處理該特徵中之該金屬氮化物層的步驟更包含輸送乙硼烷之脈衝至該腔室。
  20. 如請求項18所述之方法,其中於該金屬氮化物層之沉積中之氨與該含金屬前驅物之比率高於在該金屬氮化物層之該非共形處理中之氨與含金屬前驅物之比率。
  21. 如請求項14所述之方法,其中非共形地沉積該金屬氮化物層於該特徵中的步驟包含輸送一含金屬前驅物以及電漿活化之氮物質之脈衝至容納該基板之一腔室。
  22. 如請求項21所述之方法,其中非共形地沉積該金屬氮化物層於該特徵中的步驟更包含輸送乙硼烷之脈衝至該腔室。
  23. 如請求項21所述之方法,其中非共形地處理該金屬氮化物層以抑制金屬成核的步驟包含輸送一含金屬前驅物以及電漿活化之氮物質之脈衝至該腔室。
  24. 一種用金屬薄膜填充特徵之方法,包含: 提供一特徵; 進行將該特徵曝露於一金屬前驅物、一含硼還原劑以及一含氮化合物之脈衝之一第一操作; 進行將該特徵曝露於一金屬前驅物、一含硼還原劑以及一含氮化合物之脈衝之一第二操作,其中於該第一操作中之氮含量高於該第二操作;以及 於該第一操作以及該第二操作之後,將該特徵曝露於該金屬前驅物以及氫氣。
TW111145588A 2021-11-30 2022-11-29 金屬填充中的傾斜襯墊 TW202338134A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163284586P 2021-11-30 2021-11-30
US63/284,586 2021-11-30

Publications (1)

Publication Number Publication Date
TW202338134A true TW202338134A (zh) 2023-10-01

Family

ID=86613092

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111145588A TW202338134A (zh) 2021-11-30 2022-11-29 金屬填充中的傾斜襯墊

Country Status (3)

Country Link
CN (1) CN118318294A (zh)
TW (1) TW202338134A (zh)
WO (1) WO2023102397A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US7470612B2 (en) * 2005-09-13 2008-12-30 Samsung Electronics Co, Ltd. Method of forming metal wiring layer of semiconductor device
KR100757418B1 (ko) * 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
KR20220160624A (ko) * 2020-03-27 2022-12-06 램 리써치 코포레이션 핵생성 억제에 의한 피처 충진

Also Published As

Publication number Publication date
WO2023102397A1 (en) 2023-06-08
CN118318294A (zh) 2024-07-09

Similar Documents

Publication Publication Date Title
US11978666B2 (en) Void free low stress fill
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10141505B2 (en) Bromine containing silicon precursors for encapsulation layers
CN110959186B (zh) 在互连金属化中沉积钌层
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN107845572B (zh) 用于蚀刻金属的连续rf等离子体和脉冲rf等离子体
US9478411B2 (en) Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
KR20160140448A (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
KR20240070485A (ko) 상호접속부를 위한 wcn 배리어/접착층의 선택적인 증착
WO2021035254A1 (en) Reducing line bending during metal fill process
JP2024514605A (ja) モリブデンの堆積
US20240047269A1 (en) Molybdenum deposition in features
TW201920748A (zh) 氮化鎢阻障層沉積
TW202338134A (zh) 金屬填充中的傾斜襯墊
TW202239998A (zh) 低電阻接觸窗及互連線
TW202407778A (zh) 金屬矽化物接觸窗形成
WO2024091543A1 (en) Selective molybdenum fill
WO2023205184A1 (en) Molybdenum integration and void-free fill