JP2022547025A - モリブデン堆積 - Google Patents

モリブデン堆積 Download PDF

Info

Publication number
JP2022547025A
JP2022547025A JP2022514153A JP2022514153A JP2022547025A JP 2022547025 A JP2022547025 A JP 2022547025A JP 2022514153 A JP2022514153 A JP 2022514153A JP 2022514153 A JP2022514153 A JP 2022514153A JP 2022547025 A JP2022547025 A JP 2022547025A
Authority
JP
Japan
Prior art keywords
feature
metal
molybdenum
temperature
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022514153A
Other languages
English (en)
Other versions
JPWO2021046058A5 (ja
Inventor
ナ・ジョン-ソク
シェ・ヤオ-ツン
ライ・チウキン・スティーヴン
ヴァン・クリーンプト・パトリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022547025A publication Critical patent/JP2022547025A/ja
Publication of JPWO2021046058A5 publication Critical patent/JPWO2021046058A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Abstract

【解決手段】パターンフィーチャをモリブデン(Mo)で充填する方法が提供される。この方法は、誘電体側壁を含むフィーチャの底部金属含有表面にMo膜を選択的に堆積させることを含む。底面におけるMoの選択的成長は、ボトムアップ成長を可能にし、高品質でボイドフリーの充填を可能にする。関連装置も提供される。【選択図】図4

Description

[参照による援用]
本願の一部として、本明細書と同時にPCT出願書が提出される。同時に出願されたPCT出願書に認められる利益または優先権を本願が主張する各出願は、その全てが全ての目的のために参照により本明細書に援用される。
本明細書に記載の背景技術は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
金属の堆積は、多くの半導体製造プロセスの不可欠な部分である。これらの材料は、水平インタコネクト、隣接する金属層間のビア、および金属層とデバイス間のコンタクトのために用いられてよい。しかし、デバイスが縮小し、より複雑なパターニング法が業界内で用いられているため、低抵抗率金属膜の均一な堆積は困難になっている。
パターンフィーチャをモリブデン(Mo)で充填する方法が提供される。この方法は、誘電体側壁を含むフィーチャの底部金属含有表面にMo膜を選択的に堆積させることを含む。底面におけるMoの選択的成長は、ボトムアップ成長を可能にし、高品質でボイドフリーの充填を可能にする。また、関連装置も提供される。
本開示の一態様は、方法であって、フィーチャ底部およびフィーチャ側壁を有するフィーチャを含む基板を提供する工程であって、フィーチャ底部は金属含有表面を備え、フィーチャ側壁は酸化物表面または窒化物表面を備える、工程と、酸化物表面または窒化物表面に対して金属含有表面上にモリブデン(Mo)膜を選択的に堆積させるために、複数サイクルの原子層堆積(ALD)プロセスを実施する工程であって、ALDプロセスは、第1の基板温度で、モリブデン含有オキシハライド前駆体と還元剤との交互パルスにフィーチャを曝露する、工程と、を含む方法に関する。
いくつかの実施形態では、この方法は、複数サイクルのALD堆積プロセスを実施する前に、金属含有表面を水素含有プラズマに曝露する工程も含む。いくつかの実施形態では、還元剤は、熱水素(H2)である。いくつかの実施形態では、還元剤は、水素(H2)から生成されたプラズマに提供される。いくつかの実施形態では、還元剤の分圧は、少なくとも10トルである。いくつかの実施形態では、モリブデン含有前駆体は、オキシ塩化モリブデンである。いくつかの実施形態では、第1の温度は、600℃以下である。いくつかの実施形態では、第1の温度は、450℃以下である。いくつかの実施形態では、第1の温度は、400℃以下である。いくつかの実施形態では、モリブデン含有前駆体は、オキシフッ化モリブデンである。いくつかの実施形態では、この方法はさらに、基板が第1の温度である間にフィーチャを部分的に充填し、基板が第1の温度よりも高い第2の温度である間にフィーチャを完全に充填(または、フィーチャの第2の部分を充填)する工程を含む。いくつかのかかる実施形態では、フィーチャの部分的充填は、処理チャンバの第1のステーションで実施され、フィーチャの完全な充填(または、フィーチャの第2の部分の充填)は、処理チャンバの第2のステーションで実施される。いくつかの実施形態では、金属含有表面は、コバルト、ルテニウム、銅、タングステン、モリブデン、チタン、スズ、タンタル、ニッケル、イリジウム、およびロジウムを含む群からの材料の1つである。いくつかの実施形態では、金属含有表面は、窒化チタン、窒化モリブデン、窒化タングステン、炭窒化タングステン、チタンアルミニウムカーバイド、チタンシリサイド、および窒化タンタルを含む群からの材料の1つである。いくつかの実施形態では、金属含有表面は金属元素表面である。いくつかの実施形態では、側壁は酸化物を含む。酸化物の例は、ポリエチレンオキサイド、オルトケイ酸テトラエチル、流動性酸化物、および炭素ドープ酸化物を含む。いくつかの実施形態では、金属含有膜上のMo膜は、側壁の酸化物表面または窒化物表面上のMo膜よりも大きい厚さを有する(例えば、酸化物表面または窒化物表面上のMo膜よりも少なくとも約20Å大きい)。
本開示の別の態様は、方法であって、フィーチャ底部およびフィーチャ側壁を有するフィーチャを含む基板を提供する工程であって、フィーチャ底部は金属含有表面を備え、フィーチャ側壁は酸化物表面または窒化物表面を備える、工程と、酸化物表面または窒化物表面に対して金属含有表面上にモリブデン(Mo)を選択的に堆積させるための堆積プロセスを実施する工程であって、堆積プロセスは、第1の基板温度で、モリブデン含有オキシハライド前駆体および還元剤にフィーチャを曝露する工程を含む、工程とを含む、方法に関する。
いくつかの実施形態では、この方法は、堆積プロセスを実施する前に、金属含有表面を水素含有プラズマに曝露する工程も含む。いくつかの実施形態では、金属含有表面は、塩素(Cl-)系プラズマなどのハロゲン含有プラズマを含む例を用いる他の処理に曝されてよい。いくつかの実施形態では、還元剤は熱水素(H2)である。いくつかの実施形態では、還元剤は、水素(H2)から生成されたプラズマに提供される。いくつかの実施形態では、還元剤の分圧は、少なくとも10トルである。いくつかの実施形態では、モリブデン含有前駆体は、オキシ塩化モリブデンである。いくつかの実施形態では、第1の温度は、600℃以下である。いくつかの実施形態では、第1の温度は、450℃以下である。いくつかの実施形態では、第1の温度は、400℃以下である。いくつかの実施形態では、モリブデン含有前駆体は、オキシフッ化モリブデンである。いくつかの実施形態では、この方法はさらに、基板が第1の温度である間にフィーチャを部分的に充填し、基板が第1の温度よりも高い第2の温度である間にフィーチャを完全に充填(または、フィーチャの第2の部分を充填)する工程を含む。いくつかの実施形態では、フィーチャの部分的充填は、処理チャンバの第1のステーションで実施され、フィーチャの完全な充填(または、フィーチャの第2の部分の充填)は、処理チャンバの第2のステーションで実施される。いくつかの実施形態では、金属含有表面は、コバルト、ルテニウム、銅、タングステン、モリブデン、チタン、スズ、タンタル、ニッケル、イリジウム、およびロジウムを含む群からの1つの材料である。いくつかの実施形態では、金属含有表面は、窒化チタン、窒化モリブデン、窒化タングステン、炭窒化タングステン、チタンアルミニウムカーバイド、チタンシリサイド、および窒化タンタルを含む群からの1つの材料である。いくつかの実施形態では、金属含有表面は金属元素表面である。いくつかの実施形態では、側壁は酸化物を含む。酸化物の例は、ポリエチレンオキサイド、オルトケイ酸テトラエチル、流動性酸化物、および炭素ドープ酸化物を含む。いくつかの実施形態では、金属含有膜上のMo膜は、側壁の酸化物表面または窒化物表面上のMo膜よりも大きい厚さを有する。
これらの態様およびさらなる態様は、図面を参照して以下に説明される。
様々な実施形態による例示的なモリブデン(Mo)インタコネクト。
様々な実施形態によりMo膜の選択的堆積が実施されうる例示的なパターンフィーチャ。
様々な実施形態による、モリブデンでフィーチャを充填するための例示的な選択的堆積法。
図3の特定の実施形態による方法の特定の動作後のパターンフィーチャの例示的な断面概略図。 図3の特定の実施形態による方法の特定の動作後のパターンフィーチャの例示的な断面概略図。
ガスマニホールドシステムを備え、様々な実施形態により用いられうる例示的な装置。
様々な実施形態による、ALDによってMo層を選択的に堆積させる例示的な方法。
画像(a)は選択的な部分的Mo充填を示し、画像(b)は継ぎ目またはボイドのない完全なMo充填を示す、Cuへの堆積を伴うビア構造におけるMoギャップ充填展開の画像。
特定の開示の実施形態を実施するための例示的な処理チャンバの概略図。
特定の開示の実施形態を実施するための例示的な処理ツールの概略図。
パターンフィーチャをモリブデン(Mo)で充填する方法が提供される。この方法は、誘電体側壁を含むフィーチャの底部金属含有表面上にMo膜を選択的に堆積させる工程を含む。底面におけるMoの選択的成長は、ボトムアップ成長を可能にし、高品質でボイドフリーの充填を可能にする。
図1は、様々な実施形態によるフィーチャ100の例を表す。フィーチャ100は、底面102および1つ以上の側壁面104を備える。エッチング停止層(ESL)106も示されている。底面102は、金属含有表面であってよい。構造100は、下にあるコンタクトへの電気接続を提供するMoインタコネクト108を形成するために、モリブデンによって充填される。
いくつかの実施形態では、底面102は金属含有表面である。金属含有表面は、コバルト(Co)、ルテニウム(Ru)、銅(Cu)、タングステン(W)、モリブデン(Mo)、ニッケル(Ni)、イリジウム(Ir)、ロジウム(Rh)、タンタル(Ta)、およびチタン(Ti)などの、任意の適した金属を含んでよい。いくつかの実施形態では、金属含有表面102は、金属元素表面である。水分への曝露により、金属含有表面にいくらかの酸化物が形成されてよい。いくつかの実施形態では、金属含有表面は、窒化チタン(TiN)、窒化モリブデン(MoNx)、窒化タングステン(WN)、炭窒化タングステン(WCxy)、チタンアルミニウムカーバイド(TiAlxy)、チタンシリサイド(TiSi2)、または窒化タンタル(TaN)の表面を含む例を用いる金属化合物である。これらの表面は、誘電体酸化物に対して選択性を示してよい。
本明細書で用いられる酸化物表面は、テトラエトキシシラン(TEOS)、フッ化シリケートガラス(FSG)、流動性酸化物、スピンオンガラス、炭素ドープ酸化物などのアルコキシドを含む。いくつかの実施形態では、酸化物表面は、上記の例を用いるシリコン系酸化物である。
1つ以上の側壁面104は、誘電体表面である。かかる表面は、ポリ(2-エチル-2-オキサゾリン)(PEOX)などのアルコキシド、および、テトラエトキシシラン(TEOS)酸化物、流動性シリコン系酸化物、炭素ドープシリコン系酸化物などを含むシリコン系酸化物を含む。これらの表面は、フィーチャを取り囲む主誘電体層の一部であってよい。選択性とは、Co、W、またはCu表面などの金属表面における誘電体表面に対する堆積の優先傾向を意味する。選択性は、堆積速度比、または、特定数の堆積サイクル後の堆積厚さの比として数値化されてよい。
いくつかの実施形態では、側壁表面は、酸化物ではなく窒化物(例えば、Sixy)であってよい。窒化物は、シリコン系窒化物またはシリコン系酸窒化物であってよい。金属元素における窒化物に対するMo成膜の選択性は、酸化物に対する選択性に類似する。
Moインタコネクト108は、ソース/ドレイン(S/D)接続、ミドルオブライン(MOL)構造、またはバックエンドライン(BEOL)構造を含む、半製品半導体デバイスの任意の適切な部分の一部であってよい。
図2は、Mo膜の選択的堆積が実施されうるパターンフィーチャの例示的な実施形態を示す。パターンフィーチャは、ビア、トレンチ、または、誘電体層におけるパターニング動作の結果として形成された他の適したフィーチャであってよい。フィーチャ210は、フィーチャの底部からフィーチャ開口214に向かって徐々に広がる開口プロファイルを有するパターンフィーチャの例を示す。
フィーチャ220は、フィーチャの底部からフィーチャ開口214に向かって狭まるリエントラント型プロファイルを有するパターンフィーチャの例を示す。リエントラント型プロファイルは、フィーチャ開口214に突出部を備えてもよい。フィーチャ230は、金属アンダーカットプロファイルを有するフィーチャを示す。様々な実施形態により、このプロファイルは、フィーチャ230の側壁ベース218の下方に金属含有表面を有する。底面202と側壁ベース218との間にボイドがあってよい。上記プロファイルの各々において、底面202は金属含有表面であってよい。底面202には、金属酸化物216が形成されてよい。
図3は、フィーチャをMo膜で充填するための選択的堆積法300の例を示すフロー図である。図4および図5は、図3の方法の実施形態の特定の動作後の例示的なパターンフィーチャの断面概略図を示す。具体的には、図4の410において、選択的堆積法300の適用前のパターンフィーチャが示されている。パターンフィーチャは、例えばエッチングフィーチャであってよい。パターンフィーチャは、底面402、および、酸化物または窒化物でありうる側壁面404を備える。いくつかの実施形態では、底面402に金属酸化物416があってよい。
図3の動作305では、必要に応じて金属含有表面および誘電体表面を含むフィーチャの前処理が実施される。前処理は、金属含有表面上のあらゆる金属酸化物を還元するのに用いられるため、フィーチャを水素種などの還元剤に曝露する工程を含んでよい。フィーチャの前処理は、フィーチャを水素含有プラズマに曝露する工程を含んでよい。いくつかの実施形態では、水素含有プラズマは、水素ガス(H2)から生成される。いくつかの表面にとって、H2系プラズマは、金属酸化物を還元する、または表面を整えるのに効果的でない可能性がある。かかる場合には、他の処理が用いられてよい。一例では、TiSi2表面などのシリサイド表面を処理するために、ハロゲン系プラズマが用いられてよい。例は、塩素(Cl2)および/または三塩化ホウ素(BCl3)から生成されたプラズマを含む。
前処理は、実施された場合、プラズマ処理であってよい、または、いくつかの実施形態では熱処理であってよい。熱処理は、非プラズマ環境において表面をガスに曝露することを含みうる。一例では、TiSi2などの金属シリサイド、および、他の金属化合物または金属表面を処理するために、フッ化水素(HF)が用いられてよい。プラズマ処理が実施される場合は、それはリモートプラズマまたはin-situプラズマであってよい。In-situプラズマは、基板を収容するチャンバ内で生成されるプラズマを意味し、一般に基板と生成されたプラズマとの間に置かれるフィルタはなく、イオンおよびラジカルを含んでよい。リモートプラズマは、基板から遠く離れて生成されるプラズマを意味し、基板が存在するチャンバの一部である、もしくはそのチャンバに接続する、ドームもしくは他の空間、または、別々の独立装置で生成されてよい。シャワーヘッドまたは他のフィルタは一般に、発生器と基板との間に置かれる。いくつかの実施形態では、リモートプラズマは、ラジカルのみ、または他の中性種を含み、イオンを含まない。図4の420は、動作305が実施された後のフィーチャ410の実施形態である。この実施形態では、金属含有表面402は、もはや金属酸化物を有していない。
図315のブロック315に戻ると、金属含有表面上でMo膜の選択的成長が実施される。選択的堆積とは、酸化物表面または窒化物表面に対する金属含有表面への選択的な堆積を意味する。そのため、金属含有表面に形成された充填部分は、酸化物表面または窒化物表面に形成された充填部分よりも厚い。このことは、Mo膜408の選択的堆積の開始を示す図4の430に示されている。Mo膜408の核生成は、金属含有底面402で開始する。図4の例では、側壁面404の酸化物または窒化物にMo膜の成長はない。金属含有底面402における成長は、大粒子化および/または低抵抗化をもたらす可能性がある。選択的堆積は、ALD(以下に図6を参照してさらに説明)または化学蒸着(CVD)の間に用いられてよい。
Moを選択的に堆積させるために、Mo前駆体、温度、反応物分圧が制御されてよい。Mo前駆体は、Moxxzなどのオキシハライドであり、Hはハロゲン(フッ素(F)、塩素(Cl)、臭素(Br)、またはヨウ素(I))である(x、y、zは、安定分子を形成できるゼロよりも大きい数字)。Mo前駆体の例は、四フッ化酸化モリブデン(MoOF4)、酸化四塩化モリブデン(MoOCl4)、二酸化二塩化モリブデン(MoO2Cl2)、二臭化二酸化モリブデン(MoO2Br2)、ならびに、酸ヨウ化モリブデンMoO2IおよびMo411Iである。還元剤は、モリブデンオキシハライドと反応してモリブデン元素を形成する。いくつかの実施形態では、還元剤は、熱水素またはプラズマ水素(H2)である。
温度は、選択性、粒度、および抵抗に影響する。高温は、Mo膜の選択性を低減し、側壁面404の酸化物または窒化物だけでなく、金属含有底面402における成長ももたらす可能性がある。しかし、温度が低すぎる場合は、不純物準位が増加し、粒子サイズが低下して、抵抗が増加する可能性がある。基板温度は、塩素含有化学物質を用いてMoを選択的に堆積させるために、350~600℃を含む温度であってよい。上記のように、選択性は、温度が低下するにつれて向上できる。よっていくつかの実施形態では、基板温度は、塩素含有前駆体について約350~550℃、または350~450℃であってよい。フッ素含有化学物質についての基板温度は、より低温(例えば、150~350℃)であってよい。
図3のブロック325において、フィーチャはMoで充填される。Mo充填プロセスの開始は、図4の430に示されている。Mo膜408は、金属含有表面402に成長し続けてよい。404においてMo膜は、側壁面404の酸化物または窒化物で核生成を開始してもよい。Mo膜408は、フィーチャを充填し、側壁404から成長したMo膜408よりも、金属含有底面402からの方が大きい厚さを有する。
いくつかの実施形態では、多段階Mo堆積が実施される。図4では、選択的堆積が実施される初期段階が430および440で表されている。堆積速度およびスループットが増加するように堆積条件が変更された第2段階は、450で表されている。450では、共形成長が起こる(ボトムアップや非共形成長ではない)。基板温度を上げることにより、底部および側壁の両方からのMo膜408の成長速度は増加し、フィーチャを充填する時間が短縮される。図4の例では、側壁面でいくらかの量の膜が核生成された後に温度が上げられる。他の実施形態では、ボトムアップによる膜成長の部分よりも上の側壁面の一部または全てにおいて、核生成されるMoはなくてよい。温度の上昇は、これらの側壁面における核生成を可能にする。これは、ボイドの危険性なく優れたフィーチャ充填を得るために、共形成長が用いられるようにフィーチャが十分に充填されたら適切になってよい。温度は、少なくとも50℃、少なくとも100℃、または少なくとも150℃に上昇してよく、デバイス構造においてサーマルバジェットが許容される限り、少なくとも500℃、および800℃もの高温であってよい。
いくつかの実施形態では、基板温度または他の処理パラメータは、フィーチャが選択的堆積条件で充填された状態で堆積速度を増加させるようには変更されない。これは図5に表されており、510では、側壁面504および底面502を有するフィーチャが示されている。底面502には、金属酸化物516がある。520では、金属酸化物が除去された後のフィーチャが示されている。530では、Mo堆積がフィーチャを充填した後のフィーチャが示されている。プロセス条件の変更なしの単段階堆積では、堆積は、フィーチャを充填するのにボトムアップ充填が用いられる選択的のままであってよい、または、いくらかのMoが側壁で核生成し始めて選択性を低減させるため、選択的堆積からより共形な堆積に移行してよい。
酸素含有前駆体による純金属膜の堆積は、堆積プロセス中に酸素を膜に取り込むことが容易なために難しい。酸素が取り込まれた場合は、抵抗が増加する。本明細書に記載の方法および装置は、いくつかの実施形態において、1原子%未満の酸素を有する純金属膜を堆積させるために実施されてよい。金属オキシハライド前駆体に対する還元剤の比率は、1よりも大幅に大きく、堆積膜は1原子%以下の酸素を含む。少なくとも100:1のモル比が用いられてよい。いくつかの実施形態では、堆積膜は、1E18原子/cm3以下のハロゲン濃度を有する。1原子%以下の酸素を含む純粋膜を堆積させるために、還元剤対金属前駆体比は、1よりも大幅に大きい(例えば、少なくとも20:1、または少なくとも50:1)。温度の例は、塩素含有前駆体について350~600℃であり、フッ素含有前駆体について150~500℃であってよい。チャンバ圧の例は、1~100トルであってよい。純粋膜を得るために用いられる還元剤:前駆体の比率は、温度が上昇するにつれて低くなってよい。いくつかの実施形態では、塩素含有前駆体の温度は、少なくとも400℃である。還元剤の分圧が増加するにつれて、還元剤:前駆体比を低減するためにより高い圧力が用いられてもよい。
パルスを用いるALDなどのプロセスにおいて、還元剤パルスの数は、いくつかの実施形態では前駆体パルスの数よりも多くてよい。この方法は、複数の充填容器を用いて実施されてよい。図6Aには、3つのガス源(前駆体、H2、およびパージガス)が容器を充填するように接続された例示的な装置が概略的に示されている。この装置は、様々なガス分配ラインにライン充填を提供するガスマニホールドシステムを備える。マニホールドは、前駆体ガス、還元性ガス、およびパージガスを、弁付き充填容器を通じて堆積チャンバに提供する。様々な弁が開閉して、ライン充填を提供する、すなわち、分配ラインを加圧する。様々な実施形態では、還元剤充填容器の数(全充填容積)は、前駆体および/またはパージガスの充填容器の数よりも多くてよい。前駆体1パルスごとの複数パルスの還元剤は、酸素含有前駆体の急速な減少を可能にして、高純度で低抵抗の金属膜を堆積させる。いくつかの実施形態では、前駆体だけでなく還元剤にも複数の充填容器が用いられてよい。これにより、複数パルスが導入され、酸素含有前駆体の完全還元が可能になる。
還元剤対前駆体の比率は、基板が曝露され、反応できる分子の比率として特徴付けられてよく、
Figure 2022547025000002
で計算されてよい。ライン充填は、加圧分配される。ドーズ時間は、ドーズ(パルスとも呼ばれる)が続く時間量を意味する。これは、ライン充填時間がない場合は以下に単純化されてよい:
Figure 2022547025000003
上記の式はモル比であり、例示的なモル比は、50:1~10000:1、50:1~2000:1、100:1~10000:1、または100:1~2000:1である。
還元剤対前駆体の比率は、容積比として特徴付けられてよく、
Figure 2022547025000004
で計算されてよい。
容積比は、例えば50:1~2000:1であってよい。
いくつかの実施形態では、ALD法は、Moを選択的に堆積させるのに用いられる。図6Bは、ALD法における動作を示すフロー図である。605において、Mo前駆体はパルス化される。上記のように、Mo前駆体は、基板上に吸着されるモリブデン含有オキシハライド前駆体である。Mo前駆体がパルス化された後に、必要に応じてパージ615が生じてよい。あらゆる非吸着前駆体をチャンバからパージするために、アルゴンまたは任意の不活性ガスが用いられてよい。基板は、Mo前駆体を還元するための還元剤である共反応物625に曝される。反応物は、水素含有反応物であってよい。いくつかの実施形態では、水素含有反応物は、熱水素(H2)であってよい。リモートプラズマまたはin-situプラズマは、H2から生成される。熱(非プラズマ)プロセスについて、共反応物の分圧は、選択性を調節するために少なくとも10トルで制御されてよい。低い反応物分圧は、誘電体上の核生成遅延の増加により選択性を増加させる。高圧は短い曝露時間で用いられてよく、低圧は長い曝露時間で用いられてよい。635において任意のパージが実施されてよく、その後、膜が完全に成長するまで動作605~635が繰り返されてよい。上記のように、これはフィーチャを完全に充填することを含んでよく、膜が底部から十分に成長した後により高い堆積速度のプロセスに移行するために、温度を適切に上昇させることを含みうる。
図7は、400℃のMoO2Cl2を用いた選択的ALD堆積の結果を示す透過電子顕微鏡(TEM)画像を示す。画像710は、酸化物側壁に対してCu表面上に選択的に堆積したMoを示し、画像720は、その結果生じた優れたギャップ充填を示す。
装置
図8は、低圧環境を維持するための処理チャンバ802を有するALD処理ステーション800の実施形態の概略図を表す。複数のALD処理ステーションは、共通の低圧処理ツール環境に備えられてよい。例えば図9は、マルチステーション処理ツール900の実施形態を表す。いくつかの実施形態では、以下に詳細に説明されるものを含むALD処理ステーション800の1つ以上のハードウェアパラメータは、1つ以上のコンピュータコントローラ850によってプログラムで調節されてよい。
ALD処理ステーション800は、処理ガスを分配シャワーヘッド806に供給するための反応物供給システム801aと流体連通する。反応物供給システム801aは、シャワーヘッド806に供給するために、Mo前駆体含有ガスまたは水素含有ガスなどの処理ガスを混合および/または調整するための混合容器804を備える。1つ以上の混合容器入口弁820は、混合容器804への処理ガスの導入を制御してよい。様々な実施形態では、Mo膜の選択的堆積が処理ステーション800において実施され、いくつかの実施形態では、図9に関して以下にさらに説明されるように、前処理などの他の動作がマルチステーション処理ツール900の同じステーションまたは別のステーションにおいて実施されてよい。
例として、図8の実施形態は、混合容器804に供給される液体反応物を気化するための蒸発点803を含む。いくつかの実施形態では、蒸発点803は、加熱気化器であってよい。いくつかの実施形態では、液体前駆体または液体反応物は、液体注入器(図示せず)で気化されてよい。例えば、液体注入器は、液体反応物のパルスを混合容器804の上流のキャリアガス流に注入してよい。一実施形態では、液体注入器は、液体を高圧から低圧に急速に変更することにより反応物を気化してよい。別の例では、液体注入器は液体を分散微小滴に霧化し、微小滴はその後、加熱供給管において気化されてよい。小液滴は大液滴よりも速く気化し、液体注入器と完全蒸発と間の遅延を低減してよい。蒸発が速いことで、蒸発点803から下流の配管の長さが低減されてよい。1つの状況では、液体注入器は、混合容器804に直接取り付けられてよい。別の状況では、液体注入器は、シャワーヘッド806に直接取り付けられてよい。
いくつかの実施形態では、蒸発および処理チャンバ802への供給のための液体質量流量を制御するために、蒸発点803の上流に液体流制御装置(LFC)が設けられてよい。例えば、LFCは、その下流に設置された熱質量流量計(MFM)を含んでよい。次にLFCのプランジャ弁は、MFMと電気連通する比例積分微分動作(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。しかし、フィードバック制御を用いて液体流を安定させるには1秒以上かかる可能性がある。これにより、液体反応物をドーズする時間が延びるかもしれない。よって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態では、これはLFCの感知管およびPIDコントローラを停止させることにより実施されてよい。
シャワーヘッド806は、処理ガスを基板812に向けて分配する。図8に示された実施形態では、基板812は、シャワーヘッド806の下に位置し、台座808の上に載っていることが示されている。シャワーヘッド806は、任意の適した形状を有してよく、処理ガスを基板812に分配するための任意の適した数および配置のポートを有してよい。
いくつかの実施形態では、台座808は、基板812を基板812とシャワーヘッド806との間の空間に曝すために上下されてよい。いくつかの実施形態では、台座808は、ヒータ810によって温度制御されてよい。台座808は、様々な開示の実施形態を実施するための動作中に、任意の適した温度(約350℃~約450℃など)に設定されてよい。いくつかの実施形態では、台座の高さは、適したコンピュータコントローラ850によってプログラムで調節されてよいことが分かるだろう。処理段階の終わりに、台座808は、台座808から基板812を取り外せるように、別の基板の搬送段階の間に下降されてよい。
いくつかの実施形態では、シャワーヘッド806の位置は、基板812とシャワーヘッド806との間の容積が変化するように台座808に対して調節されてよい。さらに、台座808および/またはシャワーヘッド806の垂直位置は、本開示の範囲内の任意の適した機構によって変更されてよいことが分かるだろう。いくつかの実施形態では、台座808は、基板812の向きを回転させるための回転軸を備えてよい。いくつかの実施形態では、これらの例示的な調節の1つ以上は、1つ以上の適したコンピュータコントローラ850によってプログラムで実施されてよいことが分かるだろう。コンピュータコントローラ850は、図9のコントローラ950に関して以下に説明されるいずれかのフィーチャを含んでよい。
上記のようにプラズマが用いられうるいくつかの実施形態では、シャワーヘッド806および台座808は、プラズマに給電するために高周波(RF)電源814および整合ネットワーク816と電気連通する。いくつかの実施形態では、プラズマエネルギは、処理ステーション圧、ガス濃度、RFソース電力、RFソース周波数、およびプラズマ電力パルスタイミングの1つ以上を制御することにより制御されてよい。例えば、RF電源814および整合ネットワーク816は、所望の組成のラジカル種を有するプラズマを形成するために任意の適した電力で操作されてよい。同様に、RF電源814は、任意の適した周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源814は、高周波および低周波のRF電源を互いに独立して制御するように構成されてよい。例示的な低周波RF周波数は、0~900kHzの周波数を含んでよいが、これに限定されない。例示的な高周波RF周波数は、1.8MHz~2.45GHzの周波数、約13.56MHzよりも大きい周波数、27MHzよりも大きい周波数、80MHzよりも大きい周波数、または60MHzよりも大きい周波数を含んでよいが、これらに限定されない。表面反応のためのプラズマエネルギを提供するために、任意の適したパラメータが個別にまたは連続的に調節されてよいことが分かるだろう。
いくつかの実施形態では、プラズマは、1つ以上のプラズマモニタによってin-situで監視されてよい。1つの状況では、プラズマ電力は、1つ以上の電圧電流センサ(例えば、VIプローブ)によって監視されてよい。別の状況では、プラズマ密度および/または処理ガス濃度は、1つ以上の発光分光分析(OES)センサによって測定されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータは、かかるin-situプラズマモニタからの測定値に基づいてプログラムで調節されてよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためにフィードバックループで用いられてよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するために他のモニタが用いられてよいことが分かるだろう。かかるモニタは、赤外線(IR)モニタ、音響モニタ、および圧力変換器を含んでよいが、これらに限定されない。
いくつかの実施形態では、コントローラ850のための命令は、入出力制御(IOC)シーケンス命令によって提供されてよい。一例では、処理段階の条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含まれてよい。いくつかの場合では、処理段階のための全ての命令がその処理段階と同時に実行されるように、プロセスレシピ段階は連続して配置されてよい。いくつかの実施形態では、1つ以上のリアクタパラメータを設定するための命令は、レシピ段階に含まれてよい。例えば、第1のレシピ段階は、不活性ガスおよび/または反応ガス(例えば、Mo前駆体などの第1の前駆体)の流量を設定するための命令、キャリアガス(アルゴンなど)の流量を設定するための命令、ならびに、第1のレシピ段階の時間遅延命令を含んでよい。続く第2のレシピ段階は、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令、キャリアガスまたはパージガスの流量を調節するための命令、ならびに、第2のレシピ段階の時間遅延命令を含んでよい。第3のレシピ段階は、H2などの第2の反応ガスの流量を調節する命令、キャリアガスまたはパージガスの流量を調節するための命令、プラズマを点火するための命令、および、第3のレシピ段階の時間遅延命令を含んでよい。続く第4のレシピ段階は、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令、キャリアガスまたはパージガスの流量を調節するための命令、ならびに、第4のレシピ段階の時間遅延命令を含んでよい。これらのレシピ段階は、本開示の範囲内の任意の適した手段でさらに細分化および/または繰り返されてよいことが分かるだろう。
さらに、いくつかの実施形態では、処理ステーション800の圧力制御は、バタフライ弁818によって提供されてよい。図8の実施形態に示されたように、バタフライ弁818は、下流の真空ポンプ(図示せず)によって提供された真空を調節する。しかし、いくつかの実施形態では、処理ステーション800の圧力制御は、処理ステーション800に導入される1つ以上のガスの流量を変更することにより調節されてもよい。
上記のように、マルチステーション処理ツールには1つ以上の処理ステーションが備えられてよい。図9は、搬入ロードロック902および搬出ロードロック904であって、そのいずれかまたは両方はリモートプラズマ源(図示せず)を備えうる、搬入ロードロック902および搬出ロードロック904を備えるマルチステーション処理ツール900の実施形態の概略図を示す。ロボット906は、大気圧でウエハを、ポッド908を通じて装填されたカセットから、大気口910を介して搬入ロードロック902に移動させるように構成されている。ウエハ(図示せず)は、ロボット906によって搬入ロードロック902内の台座912に設置され、大気口910が閉鎖され、搬入ロードロック902がポンプダウンされる。搬入ロードロック902がリモートプラズマ源を備える場合は、ウエハは、処理チャンバ914に導入される前に、搬入ロードロック902においてリモートプラズマ処理に曝されてよい。さらに、ウエハは、例えば水分および吸着ガスを除去するために、搬入ロードロック902において加熱されてもよい。次に、処理チャンバ914へのチャンバ搬送口916が開き、別のロボット(図示せず)が、リアクタ内に示された第1のステーションの台座に処理のためにウエハを設置する。図9に示された実施形態はロードロックを備えるが、いくつかの実施形態では、処理ステーションへのウエハの直接搬入が提供されてよいことが分かるだろう。
図の処理チャンバ914は、図9に示された実施形態で1から8の番号が付けられた4つの処理ステーションを備える。各ステーションは、加熱台座(ステーション1の918で図示)およびガスライン入口を有する。いくつかの実施形態では、各処理ステーションは異なる目的または複数の目的を有してよいことが分かるだろう。例えば、いくつかの実施形態では、処理ステーションは、ALD処理モードとプラズマ強化ALD処理モードとの間で切り替え可能であってよい。いくつかの実施形態では、堆積前駆体への曝露と、第2の反応物およびプラズマへの曝露とは、同じステーションで実施される。加えて、またはあるいは、いくつかの実施形態では、処理チャンバ914は、1つ以上の対応対のALD処理ステーションおよびプラズマ強化ALD処理ステーションを備えてよい。さらに、前処理ガスまたはプラズマへの曝露およびALDプロセスは、同じステーションまたは異なるステーションで生じてよい。図の処理チャンバ914は4つのステーションを備えるが、本開示による処理チャンバは、任意の適した数のステーションを有してよいことが理解されるだろう。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを有してよいが、他の実施形態では、処理チャンバは3つ以下のステーションを有してよい。さらにいくつかの実施形態では、基板が第2の台座温度で第2のステーションに移動した状態で、第1のステーションにおいて部分ギャップ充填が第1の台座温度で実施されてよい。
図9は、処理チャンバ914内でウエハを搬送するためのウエハ搬送システム990の実施形態を表す。いくつかの実施形態では、ウエハ搬送システム990はウエハを、様々な処理ステーション間、および/または、処理ステーションとロードロックとの間で搬送してよい。任意の適したウエハ搬送システムが用いられてよいことが分かるだろう。非限定的な例は、ウエハカルーセルおよびウエハ搬送ロボットを含む。図9は、処理ツール900のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ950の実施形態も表す。システムコントローラ950は、1つ以上のメモリデバイス956、1つ以上の大容量記憶装置954、および1つ以上のプロセッサ952を備えてよい。プロセッサ952は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態では、システムコントローラ950は、処理ツール900の全ての動作を制御する。システムコントローラ950は、大容量記憶装置954に格納され、メモリデバイス956にロードされ、プロセッサ952において実行される、システム制御ソフトウェア958を実行する。あるいは、コントローラ950において制御ロジックがハードコードされてよい。これらのために、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ(FPGA))などが用いられてよい。以下の説明では、「ソフトウェア」または「コード」が用いられる箇所には、機能的に同等のハードコードされたロジックが用いられてよい。システム制御ソフトウェア958は、タイミング、ガスの混合、ガスの流量、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、プラズマ曝露期間、紫外線期間、ウエハ温度、目標電力レベル、RF電力レベル、基板台座、チャック位置および/またはサセプタ位置、ならびに、処理ツール900によって実施される特定プロセスの他のパラメータを制御するための命令を含んでよい。システム制御ソフトウェア958は、任意の適した手段で構成されてよい。例えば、様々な処理ツール部品のサブルーチンまたは制御オブジェクトは、様々な処理ツールのプロセスを実行するために用いられる処理ツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェア958は、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア958は、上記の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含んでよい。いくつかの実施形態では、システムコントローラ950に関連付けられた大容量記憶装置954および/またはメモリデバイス956に格納された他のコンピュータソフトウェアおよび/またはプログラムが用いられてよい。このためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座918に載せ、基板と処理ツール900の他の部品との間の容積を制御するために用いられる処理ツール部品のためのプログラムコードを含んでよい。
処理ガス制御プログラムは、ガスの組成(例えば、本明細書に記載の有機タングステン化合物含有ガス、共反応ガス、前処理を実施するためのガス、およびパージガス)ならびに流量を制御するためのコードを含んでよく、必要に応じて、処理ステーション内の圧力を安定させるために、堆積より前に1つ以上の処理ステーションにガスを流すためのコードを含んでよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットル弁、処理ステーションへのガス流などを制御することにより、処理ステーション内の圧力を制御するためのコードを含んでよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱装置への電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してよい。
プラズマ制御プログラムは、本明細書の実施形態により、1つ以上の処理ステーション内の処理電極に印加するRF電力レベルを設定するためのコードを含んでよい。
圧力制御プログラムは、本明細書の実施形態により、反応チャンバ内の圧力を維持するためのコードを含んでよい。
いくつかの実施形態では、システムコントローラ950に関連付けられたユーザインタフェースがあってよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件の画像ソフトウェア画面、ならびに、ユーザ入力装置(ポインティング装置、キーボード、タッチ画面、マイクなど)を含んでよい。
いくつかの実施形態では、システムコントローラ950によって調節されるパラメータは、プロセス条件に関連してよい。非限定的な例は、処理ガスの組成および流量、温度、圧力、プラズマ条件(例えば、RFバイアス電力レベル)などを含む。これらのパラメータは、ユーザインタフェースを用いて入力されうるレシピの形でユーザに提供されてよい。
プロセスを監視するための信号は、システムコントローラ950のアナログおよび/またはデジタルの入力接続によって、様々な処理ツールセンサから提供されてよい。プロセスを制御するための信号は、処理ツール900のアナログおよびデジタルの出力接続における出力であってよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。プロセス条件を維持するために、適切にプログラミングされたフィードバックおよび制御アルゴリズムがこれらのセンサからのデータと共に用いられてよい。
システムコントローラ950は、上記の堆積プロセスを実施するためのプログラム命令を提供してよい。このプログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々な処理パラメータを制御してよい。この命令は、本明細書に記載の様々な実施形態により、膜積層のin-situ堆積を操作するためのパラメータを制御してよい。
システムコントローラ950は通常、1つ以上のメモリデバイス、および、装置が開示の実施形態による方法を実施するように命令を実行するよう構成された1つ以上のプロセッサを備えるだろう。本開示の実施形態により処理動作を制御するための機械可読媒体を含む命令は、システムコントローラ950に結合されてよい。
いくつかの実施形態では、システムコントローラ950は、上記の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と一体化されてよい。これらの電子機器は、システムの様々な構成部品または副部品を制御できる「コントローラ」と呼ばれてよい。システムコントローラ950は、プロセス条件および/またはシステムの種類に応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールならびに/または特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出を含む、本明細書に開示されたあらゆるプロセスを制御するようにプログラムされてよい。
概して、システムコントローラ950は、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形でシステムコントローラ950に伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造時における1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、システムコントローラ950は、システムと統合もしくは結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。例えばシステムコントローラ950は、ウエハ処理のリモートアクセスを可能にする「クラウド」内にあってよい、またはファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータはシステムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または性能の基準を調査して、現行の処理のパラメータを変更してよい、または現行の処理に続く処理工程を設定してよい、または新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、システムコントローラ950は、1つ以上の動作中に実施される各処理工程のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、システムコントローラ950が接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のようにシステムコントローラ950は、例えば互いにネットワーク接続された1つ以上の別々のコントローラを含むことと、本明細書に記載のプロセスや制御などの共通の目的に向けて協働することとによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路だろう。
図8および図9は、本明細書に開示の方法を実施するために用いられうるチャンバおよびツールの例を提供するが、様々な変更が行われてよい。これらには、CCPプラズマ発生器もしくはICPプラズマ発生器の使用、または、リモートプラズマ発生器の使用が含まれる。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、洗浄チャンバまたは洗浄モジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバまたはPVDモジュール、化学蒸着(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上述のように、システムコントローラ950は、ツールによって実施される処理工程に応じて、他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通してよい。
結び
前記の実施形態は、理解を明確にするためにある程度詳しく説明されたが、添付の特許請求の範囲内で特定の変更および修正が行われてよいことは明らかだろう。本実施形態のプロセス、システム、および装置を実施する多くの別の手段があることに注意されたい。従って、本実施形態は、制限的ではなく例示的とみなされるべきであり、本明細書に記載の詳細に限定されるべきでない。

Claims (19)

  1. 方法であって、
    フィーチャ底部およびフィーチャ側壁を有するフィーチャを備える基板を提供する工程であって、前記フィーチャ底部は金属含有表面を含み、前記フィーチャ側壁は酸化物表面または窒化物表面を含む、工程と、
    複数サイクルの原子層堆積(ALD)プロセスを実施して、前記酸化物表面または前記窒化物表面に対して前記金属含有表面上に、選択的にモリブデン(Mo)膜を堆積させる工程であって、前記ALDプロセスは、第1の基板温度で、モリブデン含有オキシハライド前駆体と還元剤との交互パルスに前記フィーチャを曝露する工程を含む、工程と、
    を含む、方法。
  2. 請求項1に記載の方法であって、さらに、
    前記複数サイクルの前記ALD堆積プロセスを実施する前に、前記金属含有表面を水素含有プラズマに曝露する工程を含む、方法。
  3. 請求項1に記載の方法であって、
    前記還元剤は、熱水素(H2)である、方法。
  4. 請求項1に記載の方法であって、
    前記還元剤は、水素(H2)から生成されたプラズマに提供される、方法。
  5. 請求項1に記載の方法であって、
    前記還元剤の分圧は、少なくとも10トルである、方法。
  6. 請求項1に記載の方法であって、
    前記モリブデン含有前駆体は、オキシ塩化モリブデンである、方法。
  7. 請求項6に記載の方法であって、
    前記第1の温度は、600℃以下である、方法。
  8. 請求項6に記載の方法であって、
    前記第1の温度は、450℃以下である、方法。
  9. 請求項6に記載の方法であって、
    前記第1の温度は、400℃以下である、方法。
  10. 請求項7から請求項9のいずれかに記載の方法であって、
    前記第1の温度は、少なくとも350℃である、方法。
  11. 請求項1に記載の方法であって、
    前記モリブデン含有前駆体は、オキシフッ化モリブデンである、方法。
  12. 請求項1に記載の方法であって、さらに、
    前記基板が前記第1の温度である間に前記フィーチャを部分的に充填し、前記基板が第2の温度である間に前記フィーチャを完全に充填する工程であって、前記第2の温度は、前記第1の温度よりも高い、工程を含む、方法。
  13. 請求項12に記載の方法であって、
    部分的に充填する前記工程は、処理チャンバの第1のステーションで行われ、完全に充填する前記工程は、前記処理チャンバの第2のステーションで行われる、方法。
  14. 請求項1に記載の方法であって、
    前記金属含有表面は、コバルト、ルテニウム、銅、タングステン、モリブデン、チタン、スズ、タンタル、ニッケル、イリジウム、およびロジウムからなる群からの材料の1つである、方法。
  15. 請求項1に記載の方法であって、
    前記金属含有表面は、窒化チタン、窒化モリブデン、窒化タングステン、炭窒化タングステン、チタンアルミニウムカーバイド、チタンシリサイド、および窒化タンタルからなる群からの材料の1つである、方法。
  16. 請求項1に記載の方法であって、
    前記金属含有表面は、金属元素表面である、方法。
  17. 請求項1に記載の方法であって、
    前記側壁は、ポリエチレンオキサイド、オルトケイ酸テトラエチル、流動性酸化物、および炭素ドープ酸化物から選択された酸化物を含む、方法。
  18. 請求項1に記載の方法であって、
    前記金属含有膜上の前記Mo膜は、前記側壁の前記酸化物表面または前記窒化物表面上の前記Mo膜よりも少なくとも約20Å厚い、方法。
  19. 方法であって、
    フィーチャ底部およびフィーチャ側壁を有するフィーチャを備える基板を提供する工程であって、前記フィーチャ底部は金属含有表面を含み、前記フィーチャ側壁は酸化物表面または窒化物表面を含む、工程と、
    堆積プロセスを実施して、前記酸化物表面または前記窒化物表面に対して前記金属含有表面上に、選択的にモリブデン(Mo)膜を堆積させる工程であって、前記堆積プロセスは、第1の基板温度で、モリブデン含有オキシハライド前駆体および還元剤に前記フィーチャを曝露する工程を含む、工程と、
    を含む、方法。
JP2022514153A 2019-09-03 2020-09-01 モリブデン堆積 Pending JP2022547025A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962895407P 2019-09-03 2019-09-03
US62/895,407 2019-09-03
PCT/US2020/048951 WO2021046058A1 (en) 2019-09-03 2020-09-01 Molybdenum deposition

Publications (2)

Publication Number Publication Date
JP2022547025A true JP2022547025A (ja) 2022-11-10
JPWO2021046058A5 JPWO2021046058A5 (ja) 2023-08-28

Family

ID=74852386

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022514153A Pending JP2022547025A (ja) 2019-09-03 2020-09-01 モリブデン堆積

Country Status (6)

Country Link
US (1) US20220328317A1 (ja)
JP (1) JP2022547025A (ja)
KR (1) KR20220053668A (ja)
CN (1) CN114342062A (ja)
TW (1) TW202124751A (ja)
WO (1) WO2021046058A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
WO2023114106A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Large grain tungsten growth in features
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes
WO2023215135A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Molybdenum halides in memory applications
WO2023229953A1 (en) * 2022-05-23 2023-11-30 Lam Research Corporation In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment
US20240035151A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods of selective deposition of molybdenum
US20240102157A1 (en) * 2022-09-22 2024-03-28 Applied Materials, Inc. Plasma-enhanced molybdenum deposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050282384A1 (en) * 2004-06-17 2005-12-22 Hidemi Nawafune Method for forming protective film and electroless plating bath
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7786006B2 (en) * 2007-02-26 2010-08-31 Tokyo Electron Limited Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming
US20190067003A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures

Also Published As

Publication number Publication date
WO2021046058A1 (en) 2021-03-11
CN114342062A (zh) 2022-04-12
US20220328317A1 (en) 2022-10-13
KR20220053668A (ko) 2022-04-29
TW202124751A (zh) 2021-07-01

Similar Documents

Publication Publication Date Title
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
JP2022547025A (ja) モリブデン堆積
US20200251384A1 (en) Deposition of aluminum oxide etch stop layers
CN110959186B (zh) 在互连金属化中沉积钌层
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
KR20220129098A (ko) 순수 금속 막의 증착
US20160056053A1 (en) Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10418236B2 (en) Composite dielectric interface layers for interconnect structures
JP2023527774A (ja) 低抵抗率の接点および相互接続
US20240047269A1 (en) Molybdenum deposition in features
CN115803473A (zh) 钼的沉积
US20230290639A1 (en) Low resistance gate oxide metallization liner
WO2024091543A1 (en) Selective molybdenum fill
TW202338134A (zh) 金屬填充中的傾斜襯墊
JP2023550331A (ja) 低抵抗率コンタクト及びインターコネクト
TW202340503A (zh) 特徵部中的大晶粒鎢生長
WO2023211927A1 (en) Metal silicide contact formation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230818

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230818