TW202124751A - 鉬沉積 - Google Patents
鉬沉積 Download PDFInfo
- Publication number
- TW202124751A TW202124751A TW109130013A TW109130013A TW202124751A TW 202124751 A TW202124751 A TW 202124751A TW 109130013 A TW109130013 A TW 109130013A TW 109130013 A TW109130013 A TW 109130013A TW 202124751 A TW202124751 A TW 202124751A
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- molybdenum
- temperature
- feature portion
- nitride
- Prior art date
Links
- 229910052750 molybdenum Inorganic materials 0.000 title claims abstract description 31
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 title claims abstract description 30
- 239000011733 molybdenum Substances 0.000 title claims abstract description 30
- 230000008021 deposition Effects 0.000 title abstract description 35
- 238000000034 method Methods 0.000 claims abstract description 67
- 229910052751 metal Inorganic materials 0.000 claims abstract description 66
- 239000002184 metal Substances 0.000 claims abstract description 66
- 238000011049 filling Methods 0.000 claims abstract description 31
- 238000012545 processing Methods 0.000 claims description 104
- 239000000758 substrate Substances 0.000 claims description 46
- 239000002243 precursor Substances 0.000 claims description 42
- 239000003638 chemical reducing agent Substances 0.000 claims description 28
- 238000000231 atomic layer deposition Methods 0.000 claims description 25
- 230000008569 process Effects 0.000 claims description 24
- 150000004767 nitrides Chemical class 0.000 claims description 22
- 239000001257 hydrogen Substances 0.000 claims description 19
- 229910052739 hydrogen Inorganic materials 0.000 claims description 19
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 10
- -1 tungsten nitride Chemical class 0.000 claims description 10
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 9
- 238000005137 deposition process Methods 0.000 claims description 9
- 150000002431 hydrogen Chemical class 0.000 claims description 9
- 239000010949 copper Substances 0.000 claims description 8
- 239000000463 material Substances 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 8
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 7
- 239000010937 tungsten Substances 0.000 claims description 7
- 239000010948 rhodium Substances 0.000 claims description 5
- 239000010936 titanium Substances 0.000 claims description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 4
- MEOSMFUUJVIIKB-UHFFFAOYSA-N [W].[C] Chemical compound [W].[C] MEOSMFUUJVIIKB-UHFFFAOYSA-N 0.000 claims description 4
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 claims description 4
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 claims description 4
- 229910017052 cobalt Inorganic materials 0.000 claims description 4
- 239000010941 cobalt Substances 0.000 claims description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 4
- 229910052802 copper Inorganic materials 0.000 claims description 4
- 229910052741 iridium Inorganic materials 0.000 claims description 4
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 4
- 229910052759 nickel Inorganic materials 0.000 claims description 4
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 claims description 4
- 229910052703 rhodium Inorganic materials 0.000 claims description 4
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 4
- 229910052707 ruthenium Inorganic materials 0.000 claims description 4
- 229910052715 tantalum Inorganic materials 0.000 claims description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 4
- 229910052719 titanium Inorganic materials 0.000 claims description 4
- 229910021341 titanium silicide Inorganic materials 0.000 claims description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 4
- 229920003171 Poly (ethylene oxide) Polymers 0.000 claims description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 3
- CNRRZWMERIANGJ-UHFFFAOYSA-N chloro hypochlorite;molybdenum Chemical group [Mo].ClOCl CNRRZWMERIANGJ-UHFFFAOYSA-N 0.000 claims description 3
- HXHQDHKWCRUPKS-UHFFFAOYSA-N fluoro hypofluorite molybdenum Chemical group [Mo].FOF HXHQDHKWCRUPKS-UHFFFAOYSA-N 0.000 claims description 3
- 229910052718 tin Inorganic materials 0.000 claims description 3
- 239000011135 tin Substances 0.000 claims description 3
- 239000007789 gas Substances 0.000 description 40
- 238000000151 deposition Methods 0.000 description 38
- 239000010408 film Substances 0.000 description 33
- 235000012431 wafers Nutrition 0.000 description 23
- 239000000376 reactant Substances 0.000 description 17
- 239000007788 liquid Substances 0.000 description 16
- 229910044991 metal oxide Inorganic materials 0.000 description 11
- 150000004706 metal oxides Chemical class 0.000 description 11
- 239000000460 chlorine Substances 0.000 description 10
- 239000010409 thin film Substances 0.000 description 10
- 230000008016 vaporization Effects 0.000 description 10
- 238000002156 mixing Methods 0.000 description 9
- 238000010926 purge Methods 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 238000009834 vaporization Methods 0.000 description 8
- 239000000203 mixture Substances 0.000 description 7
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 238000011065 in-situ storage Methods 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical class 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 239000012495 reaction gas Substances 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000000945 filler Substances 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910052740 iodine Inorganic materials 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- 229910008484 TiSi Inorganic materials 0.000 description 2
- 229920006187 aquazol Polymers 0.000 description 2
- 239000012861 aquazol Substances 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 150000002736 metal compounds Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910000476 molybdenum oxide Inorganic materials 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- PQQKPALAQIIWST-UHFFFAOYSA-N oxomolybdenum Chemical compound [Mo]=O PQQKPALAQIIWST-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- 229910015648 MoOF Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- CTUKRAIAEPZKSG-UHFFFAOYSA-L [Br-].[Br-].O=[Mo+2]=O Chemical compound [Br-].[Br-].O=[Mo+2]=O CTUKRAIAEPZKSG-UHFFFAOYSA-L 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- JCFNAADCQWXIJD-UHFFFAOYSA-L dioxomolybdenum(2+);dichloride Chemical compound Cl[Mo](Cl)(=O)=O JCFNAADCQWXIJD-UHFFFAOYSA-L 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- FQTWBRBPOJPAKF-UHFFFAOYSA-F tetrachloromolybdenum Chemical compound Cl[Mo](Cl)(Cl)Cl.Cl[Mo](Cl)(Cl)Cl FQTWBRBPOJPAKF-UHFFFAOYSA-F 0.000 description 1
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical group FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- 150000003658 tungsten compounds Chemical class 0.000 description 1
- 239000006200 vaporizer Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
- C23C16/0245—Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本文提供以鉬(Mo)來填充圖案化特徵部的方法。該方法涉及在包含介電側壁之特徵部的底部含金屬表面上選擇性沉積鉬(Mo)薄膜。於該底部表面上選擇性生長Mo允許從下到上的生長以及高品質無孔洞的填充。此處亦提供相關的設備。
Description
本發明係關於以鉬(Mo)來填充圖案化特徵部的方法。
此處所提供之背景描述係為了總體上呈現本揭露內容之上下文的目的。目前列名的發明人之工作成果到在此先前技術部分中所描述的範圍內,以及在提出申請時可能無法以其他方式視為先前技術的描述方面,均未明確或隱含視為不利於本揭露內容的先前技術。
金屬沉積是許多半導體製造處理不可或缺的一部分。這些材料可用於水平互連件、相鄰金屬層之間的通孔以及金屬層和裝置之間的接點。然而,隨著產業中使用縮小的裝置和更複雜的圖案化方案,低電阻率金屬薄膜的均勻沉積便成為一個挑戰。
本文提供了使用鉬(Mo)來填充圖案化特徵部的方法。本方法涉及在包含介電側壁之特徵部的底部含金屬表面上選擇性沉積鉬(Mo)薄膜。於該底部表面上選擇性生長Mo允許從下到上的生長以及高品質無孔洞的填充。此處亦提供相關的設備。
本揭露內容之一態樣係涉及一種方法,其步驟包含:提供包含一特徵部之一基板,該特徵部具有一特徵部底部以及複數特徵部側壁,其中該特徵部底部包含一含金屬表面,且該等特徵部側壁包含氧化物或氮化物表面,以及執行多個原子層沉積(ALD)製程循環,以相對於該氧化物或氮化物表面而選擇性地在該含金屬表面上沉積一鉬(Mo)薄膜,其中該ALD製程包含在一第一基板溫度下將該特徵部暴露至含鉬氧鹵化物前驅物及一還原劑的交替脈衝。
在一些實施例中,本方法亦包含在執行該多個ALD沉積製程循環之前,將該含金屬表面暴露至一含氫電漿。在一些實施例中,該還原劑為熱氫(H2
)。在一些實施例中,該還原劑係在由氫(H2
)產生之電漿中提供。在一些實施例中,該還原劑之分壓為至少10 Torr。在一些實施例中,該含鉬前驅物為一鉬氧氯化物。在一些實施例中,該第一溫度係不超過600℃。在一些實施例中,該第一溫度係不超過450℃。在一些實施例中,該第一溫度係不超過400℃。在一些實施例中,該含鉬前驅物為一鉬氧氟化物。在一些實施例中,該方法更包含當該基板處於該第一溫度期間,將該特徵部部分填充,且當該基板處於第二溫度期間,將該特徵部完全填充(或填充該特徵部的第二部分),該第二溫度係大於該第一溫度。在一些此種實施例中,該特徵部之部分填充係於處理室之第一站中進行,而該特徵部之完全填充(或填充該特徵部的第二部分)則於該處理室之第二站中進行。在一些實施例中,該含金屬表面係來自包含鈷、釕、銅、鎢、鉬、鈦、錫、鉭、鎳、銥和銠之群組中之一材料。在一些實施例中,該含金屬表面係來自包含鈦氮化物、鉬氮化物、鎢氮化物、鎢碳氮化物、鈦鋁碳化物、鈦矽化物和鉭氮化物之群組中之一材料。在一些實施例中,該含金屬表面係為一元素金屬表面。在一些實施例中,該側壁包含一氧化物。該氧化物之範例包含聚環氧乙烷、四乙氧基矽烷、可流動氧化物以及碳摻雜氧化物。在一些實施例中,於該含金屬薄膜表面上之該Mo薄膜係具有比位於該等側壁之該氧化物或氮化物表面上之該Mo薄膜大的厚度,例如比位於該等側壁之該氧化物或氮化物表面上之該Mo薄膜厚至少約20Å。
本揭露內容之另一態樣係關於一種方法,其步驟包含: 提供包含一特徵部之一基板,該特徵部具有一特徵部底部以及複數特徵部側壁,其中該特徵部底部包含一含金屬表面,且該等特徵部側壁包含氧化物或氮化物表面;以及執行一沉積製程,以相對於該氧化物或氮化物表面而選擇性地在該含金屬表面上沉積一鉬(Mo)薄膜,其中該沉積製程包含在一第一基板溫度下將該特徵部暴露至含鉬氧鹵化物前驅物及一還原劑。
在一些實施例中,本方法還包含在執行沉積製程之前,將該含金屬表面暴露於一含氫電漿。在一些實施例中,該含金屬表面可以暴露至利用具有含鹵素電漿(例如氯-(Cl-)基電漿)的範例進行其他處理。在一些實施例中,還原劑為熱氫(H2
)。在一些實施例中,該還原劑係在由氫(H2
)產生之電漿中提供。在一些實施例中,該還原劑之分壓為至少10 Torr。在一些實施例中,該含鉬前驅物為鉬氧氯化物。在一些實施例中,該第一溫度係不超過600℃。在一些實施例中,該第一溫度係不超過450℃。在一些實施例中,該第一溫度係不超過400℃。在一些實施例中,該含鉬前驅物為鉬氧氟化物。在一些實施例中,該方法更包含當該基板處於該第一溫度期間,將該特徵部部分填充,且當該基板處於第二溫度期間,將該特徵部完全填充(或填充該特徵部的第二部分),該第二溫度係大於該第一溫度。在一些此種實施例中,該特徵部之部分填充係於處理室之第一站中進行,而該特徵部之完全填充(或填充該特徵部的第二部分)則於該處理室之第二站中進行。在一些實施例中,該含金屬表面係來自包含鈷、釕、銅、鎢、鉬、鈦、錫、鉭、鎳、銥和銠之群組中之一材料。在一些實施例中,該含金屬表面係係來自包含鈦氮化物、鉬氮化物、鎢氮化物、鎢碳氮化物、鈦鋁碳化物、鈦矽化物和鉭氮化物之群組中之一材料。在一些實施例中,該含金屬表面係為一元素金屬表面。在一些實施例中,該側壁包含一氧化物。該氧化物之範例包含聚環氧乙烷、四乙氧基矽烷、可流動氧化物以及碳摻雜氧化物。在一些實施例中,於該含金屬薄膜表面上之該Mo薄膜係具有比位於該等側壁之該氧化物或氮化物表面上之該Mo薄膜大的厚度。
下面參考附圖來描述這些和其他態樣。
本文提供以鉬(Mo)來填充圖案化特徵部的方法。該方法涉及在包含介電側壁之特徵部的底部含金屬表面上選擇性沉積鉬(Mo)薄膜。於該底部表面上選擇性生長Mo允許從下到上的生長以及高品質無孔洞的填充。
圖1描繪了根據諸多實施例之特徵部100的範例。特徵部100包含底表面102和一或多個側壁表面104。蝕刻停止層(ESL)106也被示出。底表面102可以是一含金屬表面。結構100係填充以鉬,以形成Mo互連件108,Mo互連件108係提供與下面之接點的電連接。
在一些實施例中,底表面102為一含金屬表面。該含金屬表面可以包含任何適當的金屬,例如鈷(Co)、釕(Ru)、銅(Cu )、鎢(W)、鉬(Mo)、鎳(Ni)、銥(Ir)、銠(Rh)、鉭(Ta)和鈦(Ti)。在一些實施例中,含金屬表面102為一元素金屬表面。由於暴露於濕氣,在該含金屬表面上可能會形成一些氧化物。在一些實施例中,該含金屬表面為一金屬化合物,其範例包含鈦氮化物(TiN)、鉬氮化物(MoNx
)、鎢氮化物(WN)、鎢碳氮化物(WCx
Ny
)、鈦鋁碳化物(TiAlx
Cy
)、鈦矽化物(TiSi2
)或鉭氮化物(TaN)表面。這些表面相對於介電氧化物可以表現出選擇性。
如本文所用,氧化物表面包含例如四乙氧基矽烷(TEOS)、氟矽玻璃(FSG)、可流動氧化物、旋塗玻璃、碳摻雜氧化物等的醇氧化合物。在一些實施例中,氧化物表面為一基於矽的氧化物,例子如上。
一或多個側壁表面104為介電表面。此等表面包含如聚(2-乙基-2-口咢唑啉)(PEOX)的醇氧化合物以及包含四乙氧基矽烷(TEOS)氧化物、可流動之基於矽的氧化物、碳摻雜之基於矽的氧化物等基於矽的氧化物。這些表面可以是圍繞特徵部之主要介電層的一部分。選擇性是指相對於介電表面而優先在金屬表面(例如Co 、W或Cu表面)上沉積。它可以量化為沉積速率的比例或在一定數量的沉積循環之後的沉積厚度的比例。
在一些實施例中,側壁表面可以是氮化物(例如Six
Ny
)而不是氧化物。氮化物可以是矽基氮化物或矽基氮氧化物。Mo薄膜在元素金屬上的沉積,其相對於氮化物的選擇性係類似於對氧化物的選擇性。
Mo互連件108可以是部分製造之半導體裝置之任何適當部分的一部分,包含源極/汲極(S/D)連接、中段製程(MOL)結構或後段製程(BEOL)結構。
圖2顯示圖案化特徵部的範例實施例,於其中可以執行Mo薄膜的選擇性沉積。圖案化特徵部可以是由於介電層中的圖案化操作而形成的通孔或溝槽或其他合適的特徵部。特徵部210顯示一個圖案化特徵部的範例,該圖案化特徵部具有從特徵部底部到特徵部開口214逐漸展開的開放式輪廓。
特徵部220顯示具有凹入輪廓之一圖案化特徵部範例,該凹入輪廓係從特徵部底部往特徵部開口214縮窄。該凹入輪廓還可包含在特徵部開口214處的一突出。特徵部230顯示具有金屬底切輪廓的特徵部。根據諸多實施方式,該輪廓在特徵部230之側壁底部218下方係具有含金屬表面。在底表面202和側壁底部218之間可能有孔洞。在上述的每個輪廓中,底表面202可以是一含金屬表面。在底表面202上可以形成金屬氧化物216。
圖3為一流程圖,顯示用Mo薄膜來填充特徵部的選擇性沉積方法300的範例。圖4和圖5顯示在實施圖3方法之實施例的某些操作之後的圖案化特徵部之橫剖面示意圖範例。具體而言,在圖4中,410處係顯示在應用選擇性沉積方法300之前的圖案化特徵部。圖案化特徵部可以是例如蝕刻的特徵部。該圖案化特徵部包含底表面402以及可以是氧化物或氮化物的側壁表面404。在一些實施例中,在底表面402上可能有金屬氧化物416。
在圖3中,在操作305,對包含一含金屬表面以及一介電表面的特徵部進行可選的預處理。預處理可用於還原含金屬表面上的任何金屬氧化物,因此可包含將特徵部暴露於例如氫物種的還原劑。特徵部的預處理可以包含將特徵部暴露於含氫電漿。在一些實施例中,含氫電漿係由氫氣(H2
)產生。對於某些表面,基於H2
的電漿可能無法有效還原金屬氧化物或以其他方式製備表面。在這種情況下,可以使用其他處理方法。在一例中,可以使用基於鹵素的電漿來處理例如TiS2
表面的矽化物表面。例子包含由氯(Cl2
)和/或三氯化硼(BCl3
)產生的電漿。
如果進行預處理,其可以是電漿處理,或者在一些實施例中,可以是熱處理。熱處理可涉及在非電漿環境中將表面暴露於氣體中。在一例中,氫氟化物(HF)可用於處理例如TiSi2
的金屬矽化物以及其他金屬化合物或金屬表面。如果執行電漿處理,其可以是遠端電漿或原位電漿。原位電漿指的是在容納基板的腔室中所產生的電漿,通常沒有過濾器插在基板和所產生的電漿之間,並且可以包含離子和自由基。遠端電漿是指從基板的遠方所產生的電漿。其可以在基板所在腔室的一部分或與之相連的一罩蓋或其他空間中生成,或是在不同的獨立單元中生成。噴淋頭或其他過濾器通常介於產生器和基板之間。在一些實施例中,遠端電漿僅包含自由基或其他中性物質,而沒有離子。在圖4中,於420處為執行操作305之後的特徵部410的實施例。在該實施例中,該含金屬表面402不再具有金屬氧化物。
回到圖3,在方塊315中,在該含金屬表面上進行Mo薄膜的選擇性生長。選擇性沉積是指相對於氧化物或氮化物表面對含金屬表面具有選擇性的沉積。因此,形成在含金屬表面上之填充物的部分比形成在氧化物或氮化物表面上之填充物的部分厚。這在圖4的430處示出,其顯示Mo薄膜408開始進行選擇性沉積。Mo薄膜408的成核在含金屬底表面402上開始。在圖4的範例中,在側壁表面404的氧化物或氮化物上並沒有生長Mo薄膜。在含金屬底表面402上的生長可導致較大的晶粒尺寸和/或降低的電阻。選擇性沉積可以在ALD(於下參考圖6有進一步的描述)或化學氣相沉積(CVD)製程期間使用。
為了選擇性地沉積Mo,可以控制Mo前驅物、溫度和反應物分壓。Mo前驅物為例如Mox
Ox
Hz
的氧鹵化物,且H為鹵素(氟(F)、氯(Cl)、溴(Br)或碘(I)),且x、y和z是可以形成穩定分子的任何大於零的數字。Mo前驅物的實例為四氟氧化鉬(MoOF4
)、四氯氧化鉬(MoOCl4
)、二氯二氧化鉬(MoO2
Cl2
)、二溴二氧化鉬(MoO2
Br2
)以及碘二氧化鉬MoO2
I和Mo4
O11
I。還原劑係與鹵氧化鉬反應以形成元素鉬。在一些實施例中,還原劑是熱氫或電漿氫(H2
)。
溫度會影響選擇性、晶粒尺寸以及電阻。較高的溫度可能會降低Mo薄膜的選擇性,並導致在側壁表面404之氧化物或氮化物以及含金屬底表面402上的生長。但是,如果溫度太低,則雜質水平可能會增加且晶粒尺寸可能縮小,從而增加電阻。基板溫度可介於350℃及600℃之間,含350℃及600℃,以使用含氯化學品來選擇性沉積Mo。如上所述,隨著溫度降低,選擇性可以提高。因此,在一些實施例中,對於含氯前驅物,基板溫度可以在約350℃至550℃之間,或者在350℃至450℃之間。對於含氟化學品,基板溫度可以更低,例如150℃至350℃。
在圖3的方塊325中,係以Mo來填充特徵部。Mo填充製程的開始係顯示在圖4的430處。Mo薄膜408可以持續在含金屬表面402上生長。在404中,Mo薄膜也可以開始在側壁表面404的氧化物或氮化物上成核。Mo薄膜408填充了該特徵部,且在含金屬底表面402之Mo薄膜408厚度比從側壁404生長之Mo薄膜408大。
在一些實施例中,執行多階段之Mo沉積。在圖4中,在430和440處呈現出執行選擇性沉積的初始階段。第二階段在450處呈現,於其中改變沉積條件以增加沉積速率和產量。在450,發生共形生長(而不是自下而上,非共形生長)。藉由通過提高基板溫度,來自底部和側壁的Mo薄膜408生長速率便增加了,進而減少了填充特徵部的時間。在圖4的範例中,在一定數量的薄膜在側壁表面上成核之後,將溫度升高。在其他實施例中,在從下往上生長之薄膜的部分上方的一些或全部側壁表面上可能沒有任何成核的Mo。升高溫度可以在這些側壁表面上成核。一旦特徵部被充分填充,使得可以使用共形生長來獲得良好的特徵部填充而沒有孔洞的風險,這將是適當的。可以將溫度升高至少50℃、至少100℃、或至少150℃,且可以是至少500℃以及只要在裝置結構允許的熱預算下,可以高達800℃。
在一些實施例中,不會改變基板溫度或其他處理參數以增加沉積速率,而是在選擇性沉積條件下填充特徵部。如圖5所示,在510,顯示具有側壁表面504和底表面502的特徵部。金屬氧化物516位於底表面502上。在520,顯示去除金屬氧化物之後的特徵部。且在530,顯示Mo沉積填充特徵部之後的特徵部。在一單一階段的沉積中,於不改變處理條件的情況下,沉積可以保持選擇性,用自下而上的填充物來填充特徵部,或者當某些Mo開始在側壁上成核時,可以從選擇性沉積過渡到更保形的沉積,降低選擇性。
由於在沉積製程中容易將氧氣摻入薄膜中,因此從含氧的前驅物中沉積純金屬薄膜是一項挑戰。如果摻入氧氣,電阻率會增加。在一些實施例中,本文中描述的方法和設備可以實施為沉積具有小於1原子百分比的氧的純金屬薄膜。還原劑與金屬鹵氧化物前驅物的比例明顯大於1,且沉積的薄膜包含不超過1原子百分比的氧。可以使用至少100:1的莫耳比。在一些實施例中,沉積薄膜的鹵素濃度不超過1E18原子/cm3
。為了沉積具有不超過1原子百分比的氧的純薄膜,還原劑與金屬前驅物的比例係遠大於1,例如至少20:1或至少50:1。對含氯前驅物而言,溫度的範例可以從350℃至600℃的範圍,而對含氟前驅物則是在150℃到500℃的範圍。腔室壓力的範例可在1 Torr至100 Torr的範圍內。隨著溫度的升高,用於獲得純薄膜之還原劑:前驅物的比例可能會降低。在一些實施例中,對於含氯前驅物的溫度為至少400℃。隨著還原劑的分壓增加,也可以使用更高的壓力來降低還原劑:前驅物比例。
對於例如ALD這類採用脈衝的製程,在一些實施例中,還原劑脈衝的數量可能大於前驅物脈衝的數量。本方法可以使用多個進料容器來實施。圖6A中係示意性顯示一設備範例,其中有3個氣體源(前驅物、H2
和吹掃氣體)連接到進料容器。該設備包含氣體歧管系統,其係向諸多氣體分配管線提供管線裝料。歧管會透過帶閥的進料容器向沉積室提供前驅物氣體、還原氣體和吹掃氣體。各個閥係被打開或關閉,以提供管線裝料,即以加壓分配管線。在諸多實施例中,還原劑進料容器的數量(總進料體積)可以大於前驅物和/或吹掃氣體進料容器的數量。對於每一前驅物脈衝,還原劑的多個脈衝會允許含氧前驅物的快速還原,以沉積高純度、低電阻率的金屬薄膜。在一些實施例中,多個進料容器可用於前驅物以及還原劑。如此允許引入多個脈衝,並使含氧前驅物完全還原。
還原劑與前驅物的比例可以表徵為基板暴露於且可用於反應的分子的比例。可以根據以下公式計算得出:
管線進料是加壓的分配。給劑時間是指給劑(也稱為脈衝)持續的時間量。當沒有管線進料時間的情況下,可以將其簡化如下:
以上公式為莫耳比,莫耳比範例在50:1至10000:1、50:1至2000:1、100:1至10000:1或100:1至2000:1的範圍內。
例如,體積比可以為50:1至2000:1。
在一些實施例中,係使用ALD法來選擇性沉積Mo。圖6B係顯示ALD方法之操作的流程圖。在605處,將Mo前驅物脈衝化。如上所述,Mo前驅物是吸附到基板上之含鉬鹵氧化物前驅物。在Mo前驅物被脈衝化之後,可以發生可選的吹掃615。可以使用氬氣或任何惰性氣體來掃腔室中任何未吸附的前驅物。於625中將基板暴露於共反應物,其為還原Mo前驅物的還原劑。反應物可以是含氫反應物。在一些實施例中,含氫反應物可以是熱氫(H2
)。遠端或原位電漿係由H2
產生。對於熱(非電漿)處理,可以控制共反應物的分壓以調節選擇性,該分壓至少為10 Torr。由於在介電上成核延遲的增加,低的反應物分壓會提高選擇性。較高的壓力可以用於較短的暴露時間,而較低的壓力可以用於較長的暴露時間。可以在635處執行可選的吹掃,然後重複操作605-635,直到薄膜完全生長。如上所述,這可能涉及完全填充特徵部,並且可能涉及在薄膜從底部充分生長之後適當升高溫度,以移至更高的沉積速率處理。
圖7顯示穿透式電子顯微鏡(TEM)的影像,其顯示在400℃下使用MoO2
Cl2
進行選擇性ALD沉積的結果。影像710顯示相對於氧化物側壁而選擇性地在Cu表面上沉積Mo,且影像720顯示所得之良好的間隙填充。設備
圖8顯示ALD處理站800之實施例的示意圖,ALD處理站800具有處理室802以用於維持低壓力環境。在常見的低壓處理工具環境中可以包含多個ALD處理站。例如,圖9顯示多站處理工具900的實施例。在一些實施例中,ALD處理站800中的一或多個硬體參數,包含那些在下面詳細討論的,可以由一或多個電腦控制器850以程式進行調整。
ALD處理站800係與反應物輸送系統801a流體連通,以用於輸送處理氣體至分配噴淋頭806。反應物輸送系統801a包含一混合容器804,以用於混合和/或調理例如含Mo前驅物氣體或含氫氣體的處理氣體,以用於運送至噴淋頭806。一或多個混合容器進氣閥820可控制導入混合容器804之處理氣體。在諸多實施例中,Mo薄膜的選擇性沉積係在處理站800中進行,且在一些實施例中,諸如預處理的其他操作可以在多站處理工具900的同一站或另一站中執行,如下面關於圖9所進一步描述者。
作為一個例子,圖8的實施例包含汽化點803,用於汽化欲供給到混合容器804的液體反應物。在一些實施例中,汽化點803可以是加熱的汽化器。在一些實施例中,液體前驅物或液體反應物可以在液體注入器(未示出)處汽化。例如,液體注入器可以將液體反應物的脈衝注入到混合容器804之上游的載氣流中。在一實施例中,液體注入器可以藉由將液體從較高的壓力至較低的壓力急速汽化來汽化反應物。在另一個範例中,液體注入器可以將液體霧化成分散的微滴,隨後將其在加熱的輸送管中汽化。較小的液滴可以比較大的液滴更快地汽化,從而減少了液體注入和完全汽化之間的延遲。更快的汽化可以縮短從汽化點803下游配管的長度。在一種情況下,液體注入器可直接安裝到混合容器804。在另一情況下,液體注入器可直接安裝到噴淋頭806。
在一些實施例中,可以提供汽化點803上游的液體流量控制器(LFC),以控制用於汽化並輸送到處理室802之液體的質量流量。例如,LFC可以包含位於LFC的下游熱質量流量計(MFM)。接著可以調整LFC的柱塞閥,以回應於與MFM電連通之比例積分微分(PID)控制器所提供之反饋控制信號。但是,使用反饋控制可能需要一秒鐘或更長時間來穩定液體流動。這可能會延給劑液體反應物的時間。因此,在一些實施例中,LFC可以在反饋控制模式和直接控制模式之間動態切換。在一些實施例中,這可以藉由禁用LFC和PID控制器的感測管來執行。
噴淋頭806係朝向基板812來分配處理氣體。在圖8所示的實施例中,基板812係位於噴淋頭806下方且係顯示擱置在支座808上。噴淋頭806可以具有任何合適的形狀並可以具有任何合適數量和配置的端口,以用於將處理氣體分配到基板812。
在一些實施例中,可以升高或降低支座808以將基板812暴露至基板812和噴淋頭806之間的體積。在一些實施例中,可以經由加熱器810對支座808進行溫度控制。在執行各個揭露內容之實施例的操作期間,支座808可以設置為任何合適的溫度,例如在大約350℃和大約450℃之間。吾人將理解的是,在一些實施例中,支座高度可以由合適的電腦控制器850程式化地調節。在處理階段結束時,可以在另一個基板傳送階段期間降低支座808以允許從支座808移除基板812。
在一些實施例中,噴淋頭806的位置可以相對於支座808進行調節,以改變基板812和噴淋頭806之間的體積。此外,吾人應當理解到,支座808和/或噴淋頭806的垂直位置可以藉由本揭露內容的範圍內之任何合適的機構來改變。在一些實施例中,支座808可以包含用於旋轉基板812之取向的旋轉軸。吾人應當理解,在一些實施例中,這些範例性調整中的一或多個可以由一或多個合適的電腦控制器850以程式化方式執行。電腦控制器850可以包含以下關於圖9之控制器950的描述的任何特徵部。
在如上所述可以使用電漿的一些實施例中,噴淋頭806和支座808係與用於向電漿供電的射頻(RF)電源814和匹配網路816電連通。在一些實施例中,可以透過控制處理站壓力、氣體濃度、RF源功率、RF源頻率和電漿功率脈衝時間中的一或多個來控制電漿能量。例如,RF電源814和匹配網路816可以以任何合適的功率操作以形成具有期望的自由基物種之組合的電漿。類似地,RF電源814可以提供任何合適頻率的RF功率。在一些實施例中,RF電源814可以配置用以彼此獨立地控制高頻和低頻RF電源。例如低頻RF頻率可以包含但不限於0 kHz到900 kHz之間的頻率。高頻RF頻率範例可以包含但不限於1.8 MHz和2.45 GHz之間、或者大於約13.56 MHz、或者大於27 MHz、或者大於80 MHz或者大於60 MHz的頻率。吾人應當理解,可以離散地或連續地調製任何合適的參數以為表面反應提供電漿能量。
在一些實施例中,可以透過一或多個電漿監測器來原位監測電漿。在一種情況下,可以透過一或多個電壓、電流感應器(例如VI探針)來監視電漿功率。在另一種情況下,可以通過一或多個光學發射光譜感應器(OES)來測量電漿密度和/或處理氣體濃度。在一些實施例中,可基於來自此類原位電漿監測器的測量值以程式化地方式調整一或多個電漿參數。例如,可以在反饋迴路中使用OES感應器,以提供對電漿功率的程式控制。吾人將理解的是,在一些實施例中,可以使用其他監視器來監視電漿和其他處理特性。這樣的監視器可以包含但不限於紅外(IR)監視器、聲學監視器和壓力感應器。
在一些實施例中,可以經由輸入/輸出控制(IOC)排序指令來提供用於控制器850的指令。在一例中,用於設置處理階段之條件的指令可以包含在處理配方的相應配方階段中。在某些情況下,處理配方階段可以依序排列,以便與該處理階段同時執行針對處理階段的所有指令。在一些實施例中,用於設置一或多個反應器參數的指令可以包含在配方階段中。例如,第一配方階段可以包含用於設置惰性氣體和/或反應氣體(舉例來說,例如Mo前驅物的第一前驅物)之流速的指令、用於設置載氣(例如氬氣)的指令、以及用於第一配方階段的時間延遲指令。後續的第二配方階段可以包含用於調節或停止惰性氣體和/或反應氣體之流速的指令、用於調節載體或吹掃氣體之流速的指令以及第二配方階段的時間延遲指令。第三配方階段可以包含用於調節第二反應氣體(例如H2
)之流速的指令、用於調節載體或吹掃氣體之流速的指令、用於點燃電漿的指令以及用於第三配方的時間延遲指令。後續的第四配方階段可以包含用於調節或停止惰性氣體和/或反應氣體之流速的指令、用於調節載體或吹掃氣體之流速的指令以及第四配方階段的時間延遲指令。吾人將理解的是,在本揭露內容的範圍內,可以以任何合適的方式進一步細分和/或迭代這些配方階段。
此外,在一些實施例中,可以藉由蝶閥818來提供對處理站800的壓力控制。如圖8的實施例中所示,蝶閥818係節流控制由下游真空泵(未示出)提供的真空。但是,在一些實施例中,也可以透過改變引入到處理站800的一或多種氣體的流速來調節處理站800的壓力控制。
如上所述,在多站處理工具中可以包含一或多個處理站。圖9顯示具有入站負載鎖902以及出站負載鎖904的多站處理工具900之實施例的示意圖,入站負載鎖902以及出站負載鎖904中的一或兩者都可以包含遠端電漿源(未示出)。處於大氣壓下的機器人906係配置用以將晶圓從透過盒908裝載的匣中通過大氣端口910而移動到入站裝載鎖902中。機器人906係將晶圓(未顯示)放置在入口負載鎖902中的支座912上,關閉大氣端口910,並且抽空入站負載鎖902。其中入站負載鎖902包含一遠端電漿源,晶圓可以在引入到處理室914之前暴露至入站負載鎖902中的遠端電漿處理。此外,晶圓也可以在入站負載鎖902中加熱,以例如去除水分和吸附的氣體。接著,打開通向處理室914的腔室輸送口916,且另一個機器人(未示出)會將晶圓放入用於處理之反應器中所示之第一站之支座上的反應器中。儘管圖9中描繪的實施例包含負載鎖,但吾人應當理解,在一些實施例中,可以提供晶圓直接進入處理站。
所描繪之處理室914包含四個處理站,在圖9所示的實施例中從1到4編號。每一站都有一個加熱的支座(顯示在站1的918)和氣體管線入口。吾人將理解的是,在一些實施例中,每個處理站可以具有不同或多個目的。例如,在一些實施例中,處理站可以在ALD和電漿增強的ALD處理模式之間切換。在一些實施例中,暴露於沉積前驅物以及暴露於第二反應物和電漿是在同一站中進行。額外地或可替代地,在一些實施例中,處理室914可以包含一或多對匹配的ALD和電漿增強的ALD處理站。此外,在相同或不同的站中可能發生暴露於預處理氣體或電漿及ALD處理。儘管所示的處理室914包含四個站,但吾人應理解,根據本揭露內容之處理室可具有任何合適數量的站。例如,在一些實施例中,處理室可以具有五個或更多的站,而在其他實施例中,處理室可以具有三個或更少的站。更進一步,在一些實施例中,可以在第一支座溫度下於第一站處執行部分間隙填充,且在第二支座溫度下將基板移動到第二站。
圖9描繪了用於在處理室914內傳送晶圓之晶圓處理系統990的實施例。在一些實施例中,晶圓處理系統990可以在各個處理站之間和/或在處理站與負載鎖之間傳送晶圓。吾人應當理解,可以採用任何合適的晶圓處理系統。非限制性範例包含晶圓傳送帶和晶圓處理機器人。圖9還描繪了用於控制處理工具900之處理條件和硬體狀態的系統控制器950的實施例。系統控制器950可以包含一或多個記憶體裝置956、一或多個大容量儲存裝置954以及一或多個處理器952。處理器952可以包含CPU或電腦、類比和/或數位輸入/輸出連接器、步進馬達控制器板等。
在一些實施例中,系統控制器950控制處理工具900的所有活動。系統控制器950係執行系統控制軟體958,系統控制軟體958乃係儲存在大容量儲存裝置954中、加載到記憶體裝置956中,並在處理器952中執行。.可替換地,控制邏輯可以硬碼化在控制器950中。特殊應用積體電路、可程式化邏輯裝置(例如,場域可程式化邏輯閘陣列或FPGA)等可以用於這些目的。在下面的討論中,無論在何處使用「軟體」或「編碼」,都可以使用在功能上可比較的硬碼化邏輯。系統控制軟體958可以包含用於控制時間、氣體混合物、氣體流速,腔室和/或站壓力、腔室和/或站溫度、電漿暴露期間、UV輻射期間、晶圓溫度、目標功率水平、RF功率水平、基板支座、卡盤和/或基座位置以及由處理工具900執行之特定處理的其他參數。系統控制軟體958可以任何合適的方式配置。例如,可以編寫各種處理工具組件副程式或控制對象,以控制用於執行各種處理工具製程的處理工具組件的操作。系統控制軟體958可以用任何合適的電腦可讀程式語言來編碼。
在一些實施例中,系統控制軟體958可以包含用於控制上述諸多參數的輸入/輸出控制(IOC)排序指令。在一些實施例中,可以採用儲存在與系統控制器950相關聯之大容量儲存裝置954和/或記憶體裝置956上的其他電腦軟體和/或程式。為此目的之程式或程式段的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式和電漿控制程式。
基板定位程式可以包含用於處理工具組件的程式碼,該程式碼係用於將基板裝載到支座918上,並控制基板與處理工具900的其他部分之間的間距。
處理氣體控制程式可包含用於下列各者之編碼: 控制氣體組成物(例如,如本文所述之含有機鎢化合物的氣體、共反應物氣體、用於執行預處理之氣體、吹掃氣體)、流速以及可選地用於在沉積之前使氣體流入一或多個處理站以穩定處理站中的壓力。壓力控制程式可以包含用於控制處理站中之壓力的編碼,該壓力控制係藉由調節例如處理站之排氣系統中的節流閥、流入處理站的氣體等來進行。
加熱器控制程式可以包含用於控制流至加熱單元之電流的編碼,該電流係用於加熱基板。可替代地,加熱器控制程式可以控制傳熱氣體(例如氦氣)向基板的輸送。
根據本文的實施例,電漿控制程式可以包含用於設定施加到一或多個處理站中之處理電極的RF功率水平的編碼。
根據本文的實施例,壓力控制程式可以包含用於維持反應室中的壓力的編碼。
在一些實施例中,可以存在與系統控制器950相關聯的使用者介面。該使用者介面可以包含顯示器、設備和/或製程條件的圖形軟體顯示器、以及諸如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器950調節的參數可以涉及製程條件。非限制性範例包含處理氣體成分、流速、溫度、壓力、電漿條件(例如RF偏置功率水平)等。這些參數可以利用使用者介面輸入而以配方的形式提供給使用者。
可以藉由來自諸多處理工具感應器之系統控制器950的類比和/或數位輸入連接器來提供用於監視處理的信號。用於控制處理的信號可以在處理工具900之類比和/或數位輸出連接器上輸出。可以被監視的處理工具感應器的非限制性範例包含質量流量控制器、壓力感應器(例如壓力計)、熱電偶等。適當程式化的反饋和控制算法可與來自這些感應器的數據一起使用,以維持處理條件。
系統控制器950可以提供用於實現上述沉積處理之程式指令。該程式指令可以控制多種處理參數,例如DC功率水平、RF偏置功率水平、壓力、溫度等。該指令可以控制參數以根據本文所述的各個實施例來進行薄膜堆疊的原位沉積。
系統控制器950典型上係包含一或多個記憶體裝置和一或多個處理器,該一或多個處理器係配置用以執行指令,使得該設備將執行根據所揭露內容之實施例的方法。可以將包含根據所揭露內容之實施例中之用於控制處理操作之指令的機器可讀媒介耦合到系統控制器950。
在一些實施方式中,控制器950是系統的一部分,其可以是上述例子的一部分。這樣的系統可以包含半導體處理設備,其包含一或多個處理工具、一或多個腔室、一或多個用於處理的平台及/或特定的處理組件(晶圓支座、氣流系統等)。這些系統可以與電子設備整合在一起,以控制在半導體晶圓或基板的處理前、中、後的操作。電子設備可以指稱為「控制器」,其可以控制一或多個系統的各個部件或子部件。取決於處理要求及/或系統的類型,控制器950可以經程式化而控制此處揭露的任何處理,包含處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓傳送進出工具以及其他傳送工具及/或連接到特定系統或與特定系統相接的負載鎖。
廣義來說,系統控制器950可以定義為具有各個積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶圓及/或一或多個微處理器或執行程式指令之微控制器(例如軟體)。程式指令可以是以各種個別設定(或程式檔案)的形式傳遞給控制器950的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定處理的操作參數。在一些實施例中,操作參數可以是由製程工程師定義之配方的一部分,以在製造下列一或多個的期間完成一或多個處理步驟: 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路以及/或晶圓之晶粒。
在一些實施方式中,控制器950可以是電腦的一部份或是耦合至電腦,而電腦則是整合至系統、耦合至系統或與系統聯網,或前述的組合。例如,控制器950可以在「雲端」中或可以是晶圓廠電腦主機系統的全部或一部分,如此可以允許對晶圓處理的遠端存取。該電腦可以啟動對系統進行遠端存取,以監控制造操作的當前進度、檢查過去製造操作的歷史、檢查來自多個製造操作的趨勢或性能指標、改變當前製程的參數、設定製程步驟以接續當前製程、或開始新的製程。在一些例子中,遠端電腦(例如伺服器)可以通過網路向系統提供製程配方,該網路可以包含區域網路或網際網路。遠端電腦可以包含使用者介面,而使得能夠對參數及/或設定進行輸入或程式化,然後將參數及/或設定從遠端電腦傳送到系統。在一些例子中,系統控制器950接收數據形式的指令,其為在一或多個操作期間要執行的每個製程步驟指定參數。吾人應理解,參數係針對於欲進行製程的類型以及系統控制器950用以與之相接或控制的工具類型。因此如上所述,可以例如透過包含被聯網在一起並朝著共同目的而工作的一或多個離散控制器來分佈系統控制器950,例如本文中所描述的處理和控制。用於此種目的之分佈式控制器的例子為腔室中的一或多個積體電路,其與遠端(例如在平台等級或作為遠端電腦的一部分)的一或多個積體電路進行通信,這些積體電路相結合以控制腔室中的處理。
雖然圖8及圖9提供可以用於執行本文所揭露之方法的腔室及工具範例,但可以有多種修改。其包含使用任何CCP或ICP電漿產生器或使用遠端電漿產生器。
系統範例可以包含電漿蝕刻室或模組、沉積室或模組、旋轉清洗室或模組、金屬電鍍室或模組、清潔室或模組、斜面邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、ALD室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、徑跡室或模組、以及可以與半導體晶圓製造及/或生產中相關聯或用於其中之任何其他半導體處理系統,而不受任何限制。
如上所述,取決於工具要執行的一或多個處理步驟,系統控制器950可以與下列一或多個通信: 其他工具電路或模組、其他工具組件、叢集工具、其他工具介面、相鄰工具、鄰近工具、遍布工廠各處的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載埠之材料運輸的工具。結論
儘管出於清楚理解的目的已經詳細地描述了前述實施例,但是顯而易見的是,可以在所附申請專利範圍內進行某些改變和修改。應該注意的是,存在許多實現本實施例的製程、系統和設備的替代方式。因此,本實施例應被視為是說明性的而非限制性的,且實施例並不限於本文所給出的細節。
100:特徵部
102:底表面
104:側壁表面
106:蝕刻停止層(ESL)
108:Mo互連件
202:底表面
210:特徵部
214:特徵部開口
216:金屬氧化物
218:側壁底部
220:特徵部
230:特徵部
300:選擇性沉積方法
305:操作
315:方塊
325:方塊
402:底表面
404:側壁表面
408:Mo薄膜
410:特徵部
416:金屬氧化物
420:特徵部
430:特徵部
440:特徵部
450:特徵部
502:底表面
504:側壁表面
510:特徵部
516:金屬氧化物
520:特徵部
530:特徵部
605:操作
615:操作
625:操作
635:操作
710:影像
720:影像
800:處理站
801a:反應物輸送系統
802:處理室
803:汽化點
804:混合容器
806:噴淋頭
808:支座
810:加熱器
812:基板
814:RF電源
816:匹配網路
818:蝶閥
820:混合容器進氣閥
850:控制器
900:多站處理工具
902:入站負載鎖
904:出站負載鎖
906:機器人
908:盒
910:大氣端口
912:支座
914:處理室
916:腔室輸送口
918:加熱支座
950:系統控制器
952:處理器
954:大容量儲存裝置
956:記憶體裝置
958:系統控制軟體
990:晶圓處理系統
圖1顯示根據諸多實施例之鉬(Mo)互連件的範例。
圖2顯示根據諸多實施例中可以執行選擇性沉積Mo薄膜到圖案化特徵部的範例。
圖3顯示根據諸多實施例之使用鉬來填充特徵部的選擇性沉積方法的範例。
圖4和圖5顯示在根據圖3之某些實施例之方法的某些操作之後,圖案化特徵部之橫剖面示意圖的範例。
圖6A顯示一設備範例,該設備係包含氣體歧管系統並且可以根據諸多實施例來使用。
圖6B顯示根據諸多實施例之藉由ALD選擇性沉積Mo層的方法範例。
圖7為著陸在Cu上之通孔中之Mo間隙填充的演化圖像。圖像(a)顯示出選擇性的部分Mo填充,而圖像(b)顯示出無縫隙或孔洞的完全Mo填充。
圖8為用於執行某些揭露實施例之處理室範例的示意圖。
圖9為用於執行某些揭露實施例之處理工具範例的示意圖。
402:底表面
404:側壁表面
408:Mo薄膜
410:特徵部
416:金屬氧化物
420:特徵部
430:特徵部
440:特徵部
450:特徵部
Claims (19)
- 一種方法,其步驟包含: 提供包含一特徵部之一基板,該特徵部具有一特徵部底部以及複數特徵部側壁,其中該特徵部底部包含一含金屬表面,且該等特徵部側壁包含氧化物或氮化物表面;以及 執行多個原子層沉積(ALD)製程循環,以相對於該氧化物或氮化物表面而選擇性地在該含金屬表面上沉積一鉬(Mo)薄膜,其中該ALD製程包含在一第一基板溫度下將該特徵部暴露至含鉬氧鹵化物前驅物及一還原劑的交替脈衝。
- 如請求項1之方法,其步驟更包含在執行該多個ALD沉積製程循環之前,將該含金屬表面暴露至一含氫電漿。
- 如請求項1之方法,其中該還原劑為熱氫(H2 )。
- 如請求項1之方法,其中該還原劑係在由氫(H2 )產生之一電漿中提供。
- 如請求項1之方法,其中該還原劑之一分壓為至少10 Torr。
- 如請求項1之方法,其中該含鉬前驅物為一鉬氧氯化物。
- 如請求項6之方法,其中該第一溫度係不超過600℃。
- 如請求項6之方法,其中該第一溫度係不超過450℃。
- 如請求項6之方法,其中該第一溫度係不超過400℃。
- 如請求項7-9中任一之方法,其中該第一溫度係至少350℃。
- 如請求項1之方法,其中該含鉬前驅物為一鉬氧氟化物。
- 如請求項1之方法,其步驟更包含當該基板處於該第一溫度期間,將該特徵部部分填充,且當該基板處於一第二溫度期間,將該特徵部完全填充,該第二溫度係大於該第一溫度。
- 如請求項12之方法,其中該部分填充係於一處理室之一第一站中進行,而該完全填充則於該處理室之一第二站中進行。
- 如請求項1之方法,其中該含金屬表面係為下列組成之一群組中之一材料:鈷、釕、銅、鎢、鉬、鈦、錫、鉭、鎳、銥和銠。
- 如請求項1之方法,其中該含金屬表面係為下列組成之一群組中之一材料:鈦氮化物、鉬氮化物、鎢氮化物、鎢碳氮化物、鈦鋁碳化物、鈦矽化物和鉭氮化物。
- 如請求項1之方法,其中該含金屬表面係為一元素金屬表面。
- 如請求項1之方法,其中該側壁包含選自由下列組成之一氧化物:聚環氧乙烷、四乙氧基矽烷、可流動氧化物以及碳摻雜氧化物。
- 如請求項1之方法,其中於該含金屬薄膜上之該Mo薄膜係比位於該等側壁之該氧化物或氮化物表面上之該Mo薄膜厚至少約20Å。
- 一種方法,其步驟包含: 提供包含一特徵部之一基板,該特徵部具有一特徵部底部以及複數特徵部側壁,其中該特徵部底部包含一含金屬表面,且該等特徵部側壁包含氧化物或氮化物表面;以及 執行一沉積製程,以相對於該氧化物或氮化物表面而選擇性地在該含金屬表面上沉積一鉬(Mo)薄膜,其中該沉積製程包含在一第一基板溫度下將該特徵部暴露至含鉬氧鹵化物前驅物及一還原劑。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962895407P | 2019-09-03 | 2019-09-03 | |
US62/895,407 | 2019-09-03 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202124751A true TW202124751A (zh) | 2021-07-01 |
Family
ID=74852386
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109130013A TW202124751A (zh) | 2019-09-03 | 2020-09-02 | 鉬沉積 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20220328317A1 (zh) |
JP (1) | JP2022547025A (zh) |
KR (1) | KR20220053668A (zh) |
CN (1) | CN114342062A (zh) |
TW (1) | TW202124751A (zh) |
WO (1) | WO2021046058A1 (zh) |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20200140391A (ko) | 2018-05-03 | 2020-12-15 | 램 리써치 코포레이션 | 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법 |
JP2022523689A (ja) | 2019-01-28 | 2022-04-26 | ラム リサーチ コーポレーション | 金属膜の蒸着 |
KR20210127262A (ko) | 2019-03-11 | 2021-10-21 | 램 리써치 코포레이션 | 몰리브덴-함유 막들의 증착을 위한 전구체들 |
WO2023114106A1 (en) * | 2021-12-13 | 2023-06-22 | Lam Research Corporation | Large grain tungsten growth in features |
US20230323543A1 (en) * | 2022-04-06 | 2023-10-12 | Applied Materials, Inc. | Integrated cleaning and selective molybdenum deposition processes |
WO2023215135A1 (en) * | 2022-05-05 | 2023-11-09 | Lam Research Corporation | Molybdenum halides in memory applications |
WO2023229953A1 (en) * | 2022-05-23 | 2023-11-30 | Lam Research Corporation | In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment |
US20240035151A1 (en) * | 2022-07-27 | 2024-02-01 | Applied Materials, Inc. | Methods of selective deposition of molybdenum |
US20240102157A1 (en) * | 2022-09-22 | 2024-03-28 | Applied Materials, Inc. | Plasma-enhanced molybdenum deposition |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050282384A1 (en) * | 2004-06-17 | 2005-12-22 | Hidemi Nawafune | Method for forming protective film and electroless plating bath |
US20060068098A1 (en) * | 2004-09-27 | 2006-03-30 | Tokyo Electron Limited | Deposition of ruthenium metal layers in a thermal chemical vapor deposition process |
US20060246217A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Electroless deposition process on a silicide contact |
US7786006B2 (en) * | 2007-02-26 | 2010-08-31 | Tokyo Electron Limited | Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming |
US20190067003A1 (en) * | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
-
2020
- 2020-09-01 CN CN202080062173.XA patent/CN114342062A/zh active Pending
- 2020-09-01 KR KR1020227011026A patent/KR20220053668A/ko unknown
- 2020-09-01 JP JP2022514153A patent/JP2022547025A/ja active Pending
- 2020-09-01 US US17/639,846 patent/US20220328317A1/en active Pending
- 2020-09-01 WO PCT/US2020/048951 patent/WO2021046058A1/en active Application Filing
- 2020-09-02 TW TW109130013A patent/TW202124751A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
US20220328317A1 (en) | 2022-10-13 |
WO2021046058A1 (en) | 2021-03-11 |
KR20220053668A (ko) | 2022-04-29 |
JP2022547025A (ja) | 2022-11-10 |
CN114342062A (zh) | 2022-04-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW202124751A (zh) | 鉬沉積 | |
US10665501B2 (en) | Deposition of Aluminum oxide etch stop layers | |
US10679848B2 (en) | Selective atomic layer deposition with post-dose treatment | |
CN108847394B (zh) | 通过原子层沉积形成平滑和共形的钴膜的方法和装置 | |
US10490413B2 (en) | Selective growth of silicon nitride | |
TWI776792B (zh) | 硫族材料之封裝方法以及記憶體裝置 | |
US10176984B2 (en) | Selective deposition of silicon oxide | |
CN110959186B (zh) | 在互连金属化中沉积钌层 | |
US10283404B2 (en) | Selective deposition of WCN barrier/adhesion layer for interconnect | |
US20160056053A1 (en) | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor | |
KR20160038783A (ko) | 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치 | |
US10418236B2 (en) | Composite dielectric interface layers for interconnect structures | |
US20220319854A1 (en) | Selective deposition using hydrolysis | |
JP2023527774A (ja) | 低抵抗率の接点および相互接続 | |
US20230290639A1 (en) | Low resistance gate oxide metallization liner | |
US20240047269A1 (en) | Molybdenum deposition in features | |
KR20230169827A (ko) | 몰리브덴의 증착 | |
WO2024091543A1 (en) | Selective molybdenum fill | |
CN118318294A (zh) | 金属填充中的梯度衬垫 | |
WO2023102397A1 (en) | Gradient liner in metal fill | |
TW202407778A (zh) | 金屬矽化物接觸窗形成 |