CN104934409A - 后道工序互连层上的通孔预填充 - Google Patents

后道工序互连层上的通孔预填充 Download PDF

Info

Publication number
CN104934409A
CN104934409A CN201410234625.4A CN201410234625A CN104934409A CN 104934409 A CN104934409 A CN 104934409A CN 201410234625 A CN201410234625 A CN 201410234625A CN 104934409 A CN104934409 A CN 104934409A
Authority
CN
China
Prior art keywords
layer
conductive
opening
barrier layer
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410234625.4A
Other languages
English (en)
Other versions
CN104934409B (zh
Inventor
彭兆贤
郭启良
李明翰
李香寰
眭晓林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104934409A publication Critical patent/CN104934409A/zh
Application granted granted Critical
Publication of CN104934409B publication Critical patent/CN104934409B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53261Refractory-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本发明涉及一种使用减少空隙的预填充工艺形成的金属互连层及其相关联的方法。在一些实施例中,金属互连层具有设置在衬底上方的介电层。具有水平面以上的上部和水平面以下的下部的开口向下延伸穿过介电层。第一导电层填充开口的下部。上部势垒层设置在第一导电层上方以覆盖开口的上部的底面和侧壁表面。第二导电层设置在上部势垒层上方以填充开口的上部。

Description

后道工序互连层上的通孔预填充
技术领域
本发明一般地涉及半导体技术领域,更具体地,涉及导电互连层及其形成方法。
背景技术
在集成电路(IC)的制造过程中,器件形成在晶圆上且通过多个导电互连层连接在一起。通过首先在介电层中形成间隙(如,沟槽和通孔),然后用导电材料填充间隙来形成这些导电互连层。
导电材料通常通过电化学镀工艺(ECP工艺)形成在间隙内。首先在介电层中的间隙内形成势垒层。然后在势垒层的上方形成晶种层。用导电材料连续填充间隙的剩余空间。然后实施平坦化以去除多余的导电材料。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种导电互连层,包括:介电层,设置在衬底上方;开口,向下延伸穿过所述介电层,所述开口包括水平面以上的上部和水平面以下的下部;第一导电层,填充所述开口的下部;上部势垒层,设置在所述第一导电层上方,所述上部势垒层覆盖所述开口的上部的底面和侧壁表面;以及第二导电层,设置在所述上部势垒层上方,所述第二导电层填充所述开口的上部。
该导电互连层还包括下部势垒层,所述下部势垒层包括设置在所述开口的下部的侧壁上的第一部分和在所述介电层和所述上部势垒层之间设置在所述开口的上部的侧壁上的第二部分。
在该导电互连层中,所述第一导电层邻接下方的导电互连层。
在该导电互连层中,所述下部势垒层包括氮化钽(TaN)、氮化钛(TiN)、氮化锰(MnN)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)或氮氧化硅(SiON)。
该导电互连层还包括环绕所述第一导电层的顶部区、底部区和侧壁区的金属氧化物势垒层。
在该导电互连层中,所述金属氧化物势垒层包括氧化锰。
在该导电互连层中,所述金属氧化物势垒层包括锰(Mn)、镁(Mg)、铝(Al)、锆(Zr)、钼(Mo)、钛(Ti)、钽(Ta)或钨(W)的氧化物。
在该导电互连层中,所述开口的最大纵向尺寸与最小横向尺寸的比率介于约4:1和约10:1之间。
在该导电互连层中,所述上部势垒层包括氮化钽(TaN)、氮化钛(TiN)、氮化锰(MnN)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)或氮氧化硅(SiON)。
在该导电互连层中,所述第一导电层包括钴(Co)、钌(Ru)、铝(Al)、钼(Mo)、钨(W)、CoW或钴钨磷(CoWP)。
根据本发明的另一方面,提供了一种导电互连层,包括:一个或多个介电层,形成在衬底的上方;沟槽和下面的通孔,垂直延伸穿过所述介电层,其中,所述通孔的横向尺寸小于所述沟槽的横向尺寸;第一导电层,填充所述通孔的一部分;上部势垒层,设置在所述第一导电层上方,所述上部势垒层覆盖所述沟槽的底面和侧壁表面;以及第二导电层,设置在所述上部势垒层上方且填充所述沟槽。
该导电互连层还包括晶种层,所述晶种层设置在所述上部势垒层和所述第二导电层之间。
该导电互连层还包括下部势垒层,所述下部势垒层包括设置在所述通孔的部分的侧壁上的第一部分和在所述介电层和所述上部势垒层之间设置在所述沟槽的侧壁上的第二部分。
在该导电互连层中,所述第一导电层邻接下方的导电互连层。
根据本发明的又一方面,提供了一种填充用于互连件的间隙的方法,所述方法包括:去除介电层的所选择部分,以形成包括上部和下部的开口;用第一导电层填充所述开口的下部;在所述第一导电层上方施加上部势垒层,所述上部势垒层覆盖所述开口的上部的底面和侧壁表面;以及用第二导电层填充所述开口的上部的剩余空间。
在该方法中,所述第一导电层由下列步骤形成:用包括第一金属元素和第二金属元素的合金层填充所述开口的下部;进行退火以在所述合金层和所述介电层的界面处形成金属氧化物势垒层,其中,所述金属氧化物势垒层包括从所述合金层迁移来的所述第二金属元素;在所述金属氧化物势垒层上方施加所述上部势垒层,所述上部势垒层覆盖所述开口的上部的底面和侧壁表面;以及用所述第二导电层填充所述开口的上部的剩余空间。
在该方法中,所述合金层的所述第一金属元素是铜,且所述合金层的所述第二金属元素是锰(Mn)、镁(Mg)、铝(Al)、锆(Zr)、钼(Mo)、钛(Ti)、钽(Ta)或钨(W)。
该方法在填充所述第一导电层之前,还包括:在所述开口上方施加第一势垒层;以及从所述开口的上部和下部的底面去除所述第一势垒层的选择部分,同时保留所述开口的上部和下部的侧壁上的剩余部分。
在该方法中,通过自下而上的镀工艺或化学汽相沉积工艺填充所述开口的下部。
在该方法中,通过双镶嵌工艺形成所述开口。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各个方面。应该注意,根据工业中的标准实践,不用按比例绘制各种部件。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1A示出了根据一些实施例具有一个或多个导电互连层的衬底的截面图。
图1B示出了根据一些其他实施例具有一个或多个导电互连层的衬底的截面图。
图2示出了根据一些实施例填充用于互连件的间隙的方法的流程图。
图3示出了根据一些附加实施例填充用于互连件的间隙的方法的流程图。
图4A至图4E示出了根据一些附加实施例的导电互连层的截面图,其示出填充用于互连件的间隙的方法。
图5示出了根据一些其他实施例填充用于互连件的间隙的方法的流程图。
图6A至图6E示出了根据一些其他实施例的导电互连层的截面图,其示出填充用于互连件的间隙的方法。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现所提供主题的不同特征。下面描述了组件和布置的特定实例以简化本发明。当然,这些仅是实例而不旨在限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括以直接接触的方式形成第一部件和第二部件的实施例,并且也可以包括在第一部件和第二部件之间可以形成附加部件,使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在多个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不表示所讨论的多个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在...之下”、“在...下面”、“下面的”、“在...之上”、以及“上面的”等的空间相对术语,以描述如图中所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除图中所示的方位之外,空间相对术语旨在包括使用或操作中的器件的不同方位。装置可以以其他方式进行定位(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地进行相应的解释。
由于不断地按比例缩小半导体器件,也减小了后道工序(BEOL)金属互连件的部件尺寸。BEOL金属互连件的减小的部件尺寸导致间隙(诸如沟槽和通孔)的纵横比较大,在金属互连件的形成期间用导电层填充间隙。在双镶嵌金属化工艺中的通孔和沟槽的较大纵横比使得电化学镀(ECP)工艺难以进行填充,从而导致在通孔和沟槽中形成空隙、或其中未形成导电材料的区域。由于空隙不具有导电材料,所以它们会导致不良连接并损害可靠性。
因此,本发明涉及一种使用通孔预填充工艺填充间隙以减少双镶嵌金属层内的空隙的方法及其相关联的装置。在一些实施例中,该方法包括去除介电层的所选择部分以形成包括上部(例如,沟槽)和下部(例如,通孔)的开口。实施预填充层的选择性沉积以填充开口的下部的一部分。然后在预填充层上方连续形成势垒层、晶种层和导电层。通过选择性沉积预填充层以填充开口的下部,在使用ECP工艺形成导电层之前,填充了开口的下部中的空隙,从而避免空隙的形成。
图1A示出了根据一些实施例具有一个或多个导电互连层的衬底的截面图100。介电层106设置在半导体衬底102的上方。向下延伸穿过介电层106的开口包括水平面101之上的上部110和水平面101之下的下部108。开口的最大纵向尺寸与最小横向尺寸的比率可以介于约4:1和约10:1之间。该比率称为纵横比。
用第一导电层114填充开口的下部108,并且用第二导电层118填充开口的上部110。在一些实施例中,开口可以是垂直延伸穿过介电层106的双镶嵌结构。在这样的实施例中,开口可以包括垂直延伸穿过介电层106的沟槽和下面的通孔。通孔的横向尺寸小于沟槽的横向尺寸。通孔的一部分(例如,通孔的一半、小于通孔的一半或大于通孔的一半)可以由第一导电层114填充。通孔的剩余部分连同沟槽一起可以由第二导电层118填充。
第一导电层114和第二导电层118可以是相同或不同的材料。例如,第一导电层114和第二导电层118均可以包括铜(Cu),或第一导电层114可以包括钴(Co)、钌(Ru)、铝(Al)、钼(Mo)、钨(W)、CoW、或钴钨磷(CoWP)。上部势垒层116设置在第一导电层114和第二导电层118之间。上部势垒层116覆盖开口的上部110的底面和侧壁表面。上部势垒层116可以包括氮化钽(TaN)、氮化钛(TiN)、氮化锰(MnN)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)或氮氧化硅(SiON)。
在一些实施例中,金属氧化物势垒层112可以环绕第一导电层114的顶部区、底部区和侧壁区。侧壁区上的金属氧化物势垒层112的一部分可以具有的厚度在约1nm至约10nm的范围内。底部区上的金属氧化物势垒层112的另一部分的厚度可以比侧壁区上的厚度薄。在一些实施例中,金属氧化物势垒层112可以是氧化锰(MnOx)。在其他实施例中,金属氧化物势垒层112也可以包括具有镁(Mg)、铝(Al)、锆(Zr)、钼(Mo)、钛(Ti)、钽(Ta)、或钨(W)的氧化物。形成上部势垒层116和金属氧化物势垒层112以有助于防止第一导电层114和第二导电层118迁移至介电层106。
介电层106、填充在其中的金属氧化物势垒层112、上部势垒层116以及第一导电层114和第二导电层118可以是导电互连层130的一部分。在衬底102上方蚀刻停止层104形成的介电层106的下面。在导电互连层130之下或之上可以形成并连接有一个或多个附加导电互连层(例如,103)。在一些实施例中,第一导电层114可以电连接至下部导电互连层103。在一些其他实施例中,导电互连层130可以直接连接至半导体器件的有源区。
图1B示出了根据一些其他实施例的具有一个或多个导电互连层的衬底的截面图120。介电层106形成在半导体衬底102和蚀刻停止层104的上方。向下延伸穿过介电层106和蚀刻停止层104的开口包括由第一导电层124填充的下部108和由第二导电层128填充的上部210。沿着将第一导电层124和第二导电层128分隔开的水平面121设置上部势垒层126。晶种层127可以形成在上部势垒层126和第二导电层128之间。上部势垒层126覆盖开口的上部210的底面和侧壁表面。
下部势垒层122包括设置在开口的下部108的侧壁上的第一部分122a和设置在开口的上部210的侧壁上的第二部分122b。下部势垒层的第二部分122b夹置在介电层106和上部势垒层126之间。在一些实施例中,在下部108和上部210的底面上没有设置势垒层,使得第一导电层124与半导体器件的另一下部导电互连层103或有源区邻接。在一些实施例中,开口的下部108可以是通孔的一部分,并且上部210可以是沟槽。
图2示出了根据一些实施例填充间隙的方法的流程图200。
在步骤202中,去除介电层的所选择部分,以形成包括上部和下部的开口。
在步骤204中,用第一导电层填充开口的下部。在一些实施例中,可以通过第一镀工艺填充开口的下部。在其他实施例中,可以通过汽相沉积技术(例如,CVD、PVD等)填充开口的下部。
在步骤206中,在第一导电层上方形成上部势垒层,以覆盖开口的上部的底面和侧壁表面。
在步骤208中,用第二导电层填充开口的上部的剩余空间。在一些实施例中,可以通过第二镀工艺(例如,电镀工艺)填充开口的上部的剩余空间。
在用第二导电层填充开口的上部之前,通过用第一导电层填充开口的下部,方法200防止在开口的下部内形成空隙。
图3示出了根据一些附加实施例填充用于互连件的间隙的方法的流程图300。
尽管下面将所公开的方法(例如,方法200、300和500)示出和描述为一系列步骤或事件,但是应该理解,这些步骤或事件的示出顺序不应解释为限制意义。例如,一些步骤可以以不同的顺序发生和/或除在此示出和/或描述的步骤或事件之外,与其他步骤或事件同时发生。此外,实施在此描述的一个或多个方面或实施例不一定需要所有示出的步骤。而且,在一个或多个单独的步骤和/或阶段中可以实施在此所描述的一个或多个步骤。
在步骤302中,去除介电层的所选择部分以形成包括上部和下部的开口。可以通过镶嵌工艺形成开口。在一些实施例中,通过先通孔、先沟槽或自对准双镶嵌工艺可以形成开口。
在步骤304中,用包括第一金属元素和第二金属元素的合金层填充开口的下部。
在步骤306中,实施退火工艺以在合金层和介电层的界面处形成金属氧化物势垒层。金属氧化物势垒层包括从合金层迁移来的第二金属元素。
在步骤308中,在金属氧化物势垒层和介电层的上方形成上部势垒层。
在步骤310中,用第二导电层填充开口的上部。通过电化学镀(ECP)工艺可以形成第二导电材料。
图4A至图4E示出了根据一些实施例的半导体器件的截面图,其示出填充间隙的方法。尽管关于方法400描述了图4A至图4E,但是应该理解,图4A至图4E中所公开的结构并不限于这样一种方法。
如图4A所示,去除设置在衬底102的上方的介电层106的所选择部分,以形成包括上部110和下部108的开口。在一些实施例中,在介电层106的下方设置有蚀刻停止层104。在这样的实施例中,在相同的蚀刻工艺中也去除蚀刻停止层104的相应部分,使得露出了下面的导电互连层103。可以通过双镶嵌工艺形成开口,其中,通孔108可以形成在沟槽110的下方。在一些实施例中,通过先通孔、先沟槽或自对准双镶嵌工艺可以形成开口。
如图4B所示,用包括第一金属元素和第二金属元素的合金层413填充开口的下部108。在一些实施例中,第一金属元素可以包括铜,且第二金属元素可以包括锰。在一些其他实施例中,合金层的第二金属元素可以是锰(Mn)、镁(Mg)、铝(Al)、锆(Zr)、钼(Mo)、钛(Ti)、钽(Ta)或钨(W)。填充工艺可以是自下而上的镀工艺,其中,合金层生长开始于开口的下部108的底部并且向上发展至沿着平面101的开口的下部的表面。镀工艺可以是具有包括甲醛或乙醇酸的浴液作为还原剂的化学镀工艺。浴液还可以包括铜有机化合物溶剂。
如图4C所示,实施退火工艺以在合金层413和介电层106的界面处形成金属氧化物势垒层112。金属氧化物势垒层112包括从合金层迁移来的第二金属元素。同时,包括剩余第一金属元素的第一导电层114代替合金层413。金属氧化物势垒层112在下部的底部处的一部分可以比侧壁和顶面上的剩余部分薄。用于形成金属氧化物势垒层112的氧气可以来自邻接的介电层106或空气(合金层413暴露于该空气)。在约300℃(摄氏度)至约450℃的范围内的温度下,可以在处理室内实施退火工艺持续约10min(分钟)和约60min的范围内的时间段。
如图4D所示,上部势垒层416形成在金属氧化物势垒层112和介电层106的上方。上部势垒层416设置在上部110的底面和侧壁表面上。在一些实施例中,通过汽相沉积工艺(例如,CVD、PVD等)可以形成上部势垒层416。在各个实施例中,上部势垒层416可以包括氮化钽(TaN)、氮化钛(TiN)、氮化锰(MnN)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)或氮氧化硅(SiON)。上部势垒层416包括覆盖开口的上部的顶面、底面和侧壁表面的薄衬里层,该薄衬里层被配置为防止导电层迁移至介电层中。
如图4E所示,用第二导电层418填充开口的上部110。在一些实施例中,第二导电层418是铜。在形成第二导电层418之前,包括与第二导电层418相同或不同的材料的晶种层417可以形成在上部110内。通过物理汽相沉积(PVD)可以形成晶种层417。在沉积之后施加平坦化工艺(例如,化学机械抛光(CMP)工艺)以去除第二导电层的多余部分。
图5示出了根据一些其他实施例填充间隙的方法500的流程图。
在步骤502中,在向下延伸穿过介电层的开口的上方形成第一势垒层,其中,开口包括上部和下部。
在步骤504中,从上部和下部的底面去除第一势垒层的选择部分,同时保留开口的上部和下部的侧壁上的剩余部分。
在步骤506中,用第一导电层填充开口的下部。
在步骤508中,在开口的上部的上方形成上部势垒层,以覆盖第一导电层的上表面。
在步骤510中,用第二导电层填充开口的位于上部势垒层上方的上部。
图6A至图6E示出了根据一些实施例的半导体器件的截面图,其示出填充间隙的方法。尽管关于方法500描述了图6A至图6E,但是应该理解,图6A至图6E中公开的结构并不限于这种方法。
如图6A所示,第一势垒层122形成在向下延伸穿过介电层106的开口的上方,其中,开口包括上部110和下部108。
如图6B所示,从上部和下部的底面去除第一势垒层122的选择部分,同时保留开口的上部和下部的侧壁上的剩余部分。露出下面的导电层103。在一些实施例中,通过等离子体蚀刻工艺去除第一势垒层的选择部分。等离子体蚀刻工艺使用气流为约100sccm(每分钟标准毫升)至约1000sccm的氩(Ar)气或Ar和氢气(H2)的混合气体。在温度保持在约25℃和约300℃之间的范围内且气压保持在约1torr和约10torr的范围内的处理室内,通过介于约120W(瓦特)至约800W之间的功率施加等离子体蚀刻工艺维持一段时间(介于约30s(秒)至约240s之间)。
如图6C所示,用第一导电层124填充开口的下部108,其开始于开口的下部的沿着平面611的底部且向上发展至开口的下部的沿着平面121的表面。可以在下面的导电层103上直接生长第一导电层124。在一些实施例中,可以通过化学镀工艺填充下部108。化学镀工艺具有包括甲醛或乙醇酸的浴液作为还原剂。浴液还可以包括铜有机化合物溶剂。第一导电层124可以包括钴(Co)、钌(Ru)、铝(Al)、钼(Mo)、钨(W)、CoW、或钴钨磷(CoWP)。在一些其他实施例中,在约100℃和约300℃之间的范围内的温度和介于约1torr和约10torr之间的范围内的气压的条件下,可以通过化学汽相沉积(CVD)工艺填充下部108。第一导电层124还可以包括Co、Ru或Al。H2或NH3(氨)连同有机金属化合物一起可以用作前体。
如图6D所示,在开口的上部110上方形成上部势垒层126,以覆盖第一导电层124的上表面以及开口的上部110的底面和侧壁表面。
如图6E所示,用第二导电层128填充开口的位于上部势垒层上方的上部110。在形成第二导电层128之前,可以形成包括与第二导电层128相同或不同的材料的晶种层127。
本发明涉及一种优化的间隙填充技术,其通过一些选择性沉积方法预填充间隙的下部。结果,形成更好的导电互连层。
因此,应该理解,一些实施例涉及导电互连层。导电互连层包括在衬底上方形成的介电层。导电互连层还包括向下延伸穿过介电层的开口,其中,开口包括水平面以上的上部和水平面以下的下部。导电互连层还包括填充开口的下部的第一导电层、设置在第一导电层上方的上部势垒层以覆盖开口的上部的底面和侧壁表面、以及设置在上部势垒层上方的第二导电层,以填充开口的上部。
其他实施例涉及导电互连层。导电互连层包括在衬底上方形成的一个或多个介电层。导电互连层还包括垂直延伸穿过介电层的沟槽和下面的通孔。通孔的横向尺寸小于沟槽的横向尺寸。导电互连层还包括填充通孔的一部分的第一导电层。导电互连层还包括设置在第一导电层上方的上部势垒层以覆盖沟槽的底面和侧壁表面。导电互连层还包括设置在上部势垒层上方的第二导电层以填充沟槽。
其他实施例还涉及填充用于互连件的间隙的方法。在该方法中,去除介电层的选择部分以形成开口。开口包括上部和下部。然后,用第一导电层填充开口的下部。在第一导电层上方形成上部势垒层,以覆盖第一导电层的上表面以及开口的上部的底面和侧壁表面。然后,用第二导电层填充开口的上部的剩余空间。
上面概述了若干实施例的特征,使得本领域的普通技术人员可以更好地理解本发明的各个方面。本领域的普通技术人员应该理解,可以容易地使用本发明作为基础来设计或更改用于实施与在此所介绍实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域的普通技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此可以对其进行多种变化、替换以及改变。

Claims (10)

1.一种导电互连层,包括:
介电层,设置在衬底上方;
开口,向下延伸穿过所述介电层,所述开口包括水平面以上的上部和水平面以下的下部;
第一导电层,填充所述开口的下部;
上部势垒层,设置在所述第一导电层上方,所述上部势垒层覆盖所述开口的上部的底面和侧壁表面;以及
第二导电层,设置在所述上部势垒层上方,所述第二导电层填充所述开口的上部。
2.根据权利要求1所述的导电互连层,还包括下部势垒层,所述下部势垒层包括设置在所述开口的下部的侧壁上的第一部分和在所述介电层和所述上部势垒层之间设置在所述开口的上部的侧壁上的第二部分。
3.根据权利要求2所述的导电互连层,其中,所述第一导电层邻接下方的导电互连层。
4.根据权利要求2所述的导电互连层,其中,所述下部势垒层包括氮化钽(TaN)、氮化钛(TiN)、氮化锰(MnN)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)或氮氧化硅(SiON)。
5.根据权利要求1所述的导电互连层,还包括环绕所述第一导电层的顶部区、底部区和侧壁区的金属氧化物势垒层。
6.根据权利要求5所述的导电互连层,其中,所述金属氧化物势垒层包括氧化锰。
7.根据权利要求5所述的导电互连层,其中,所述金属氧化物势垒层包括锰(Mn)、镁(Mg)、铝(Al)、锆(Zr)、钼(Mo)、钛(Ti)、钽(Ta)或钨(W)的氧化物。
8.根据权利要求1所述的导电互连层,其中,所述开口的最大纵向尺寸与最小横向尺寸的比率介于约4:1和约10:1之间。
9.一种导电互连层,包括:
一个或多个介电层,形成在衬底的上方;
沟槽和下面的通孔,垂直延伸穿过所述介电层,其中,所述通孔的横向尺寸小于所述沟槽的横向尺寸;
第一导电层,填充所述通孔的一部分;
上部势垒层,设置在所述第一导电层上方,所述上部势垒层覆盖所述沟槽的底面和侧壁表面;以及
第二导电层,设置在所述上部势垒层上方且填充所述沟槽。
10.一种填充用于互连件的间隙的方法,所述方法包括:
去除介电层的所选择部分,以形成包括上部和下部的开口;
用第一导电层填充所述开口的下部;
在所述第一导电层上方施加上部势垒层,所述上部势垒层覆盖所述开口的上部的底面和侧壁表面;以及
用第二导电层填充所述开口的上部的剩余空间。
CN201410234625.4A 2014-03-21 2014-05-29 后道工序互连层上的通孔预填充 Expired - Fee Related CN104934409B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/221,509 US9219033B2 (en) 2014-03-21 2014-03-21 Via pre-fill on back-end-of-the-line interconnect layer
US14/221,509 2014-03-21

Publications (2)

Publication Number Publication Date
CN104934409A true CN104934409A (zh) 2015-09-23
CN104934409B CN104934409B (zh) 2018-03-23

Family

ID=54121505

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410234625.4A Expired - Fee Related CN104934409B (zh) 2014-03-21 2014-05-29 后道工序互连层上的通孔预填充

Country Status (3)

Country Link
US (2) US9219033B2 (zh)
CN (1) CN104934409B (zh)
TW (1) TWI548032B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107424955A (zh) * 2016-05-13 2017-12-01 朗姆研究公司 用于钴的锰阻挡层和粘附层
CN107665860A (zh) * 2016-07-28 2018-02-06 格罗方德半导体公司 具有界面衬里的ic结构及其形成方法
CN111261583A (zh) * 2018-11-30 2020-06-09 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN112201620A (zh) * 2020-10-27 2021-01-08 合肥晶合集成电路股份有限公司 一种金属互连结构的形成方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9837354B2 (en) 2014-07-02 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid copper structure for advance interconnect usage
US9893159B2 (en) * 2014-08-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9985026B2 (en) 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9543248B2 (en) * 2015-01-21 2017-01-10 Qualcomm Incorporated Integrated circuit devices and methods
US9831174B1 (en) * 2016-05-31 2017-11-28 Globalfoundries Inc. Devices and methods of forming low resistivity noble metal interconnect
TWI680535B (zh) * 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
FR3057392A1 (fr) * 2016-10-11 2018-04-13 Stmicroelectronics (Crolles 2) Sas Puce de circuit integre renforcee contre des attaques face avant
CN108695238B (zh) * 2017-04-07 2021-03-09 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10177028B1 (en) 2017-07-07 2019-01-08 Globalfoundries Inc. Method for manufacturing fully aligned via structures having relaxed gapfills
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10867905B2 (en) * 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US11011413B2 (en) * 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming the same
US10347528B1 (en) 2018-03-06 2019-07-09 Globalfoundries Inc. Interconnect formation process using wire trench etch prior to via etch, and related interconnect
US10658233B2 (en) * 2018-10-17 2020-05-19 International Business Machines Corporation Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom
US11107727B2 (en) 2019-05-10 2021-08-31 International Business Machines Corporation Double metal double patterning with vias extending into dielectric
US20210057273A1 (en) * 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11152257B2 (en) 2020-01-16 2021-10-19 International Business Machines Corporation Barrier-less prefilled via formation
US11404366B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect structure for self aligned via
KR20220108864A (ko) 2021-01-27 2022-08-04 삼성전자주식회사 반도체 소자 및 그의 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949472B1 (en) * 2004-05-03 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Method for high kinetic energy plasma barrier deposition
US20090072406A1 (en) * 2007-09-18 2009-03-19 International Business Machines Corporation Interconnect structure with improved electromigration resistance and method of fabricating same
CN101609829A (zh) * 2008-06-16 2009-12-23 国际商业机器公司 用于增强抗电迁移性的互连结构和制作方法
CN102124559A (zh) * 2008-08-13 2011-07-13 国际商业机器公司 用于金属互连的共形粘附促进衬垫
US20120325920A1 (en) * 2010-06-24 2012-12-27 Fujitsu Limited Forming method of interconnection structure, fabrication method of semiconductor device and substrate processing apparatus
CN102856299A (zh) * 2011-07-01 2013-01-02 台湾积体电路制造股份有限公司 互连势垒结构和方法
CN104009018A (zh) * 2013-02-27 2014-08-27 朗姆研究公司 具有自形成阻挡物的互连件

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6452276B1 (en) * 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2002343859A (ja) * 2001-05-15 2002-11-29 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
US7060624B2 (en) 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
US7078810B2 (en) * 2004-12-01 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US7585768B2 (en) 2006-06-16 2009-09-08 Chartered Semiconductor Manufacturing, Ltd. Combined copper plating method to improve gap fill
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
US8349731B2 (en) * 2011-03-25 2013-01-08 GlobalFoundries, Inc. Methods for forming copper diffusion barriers for semiconductor interconnect structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949472B1 (en) * 2004-05-03 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd Method for high kinetic energy plasma barrier deposition
US20090072406A1 (en) * 2007-09-18 2009-03-19 International Business Machines Corporation Interconnect structure with improved electromigration resistance and method of fabricating same
CN101609829A (zh) * 2008-06-16 2009-12-23 国际商业机器公司 用于增强抗电迁移性的互连结构和制作方法
CN102124559A (zh) * 2008-08-13 2011-07-13 国际商业机器公司 用于金属互连的共形粘附促进衬垫
US20120325920A1 (en) * 2010-06-24 2012-12-27 Fujitsu Limited Forming method of interconnection structure, fabrication method of semiconductor device and substrate processing apparatus
CN102856299A (zh) * 2011-07-01 2013-01-02 台湾积体电路制造股份有限公司 互连势垒结构和方法
CN104009018A (zh) * 2013-02-27 2014-08-27 朗姆研究公司 具有自形成阻挡物的互连件

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107424955A (zh) * 2016-05-13 2017-12-01 朗姆研究公司 用于钴的锰阻挡层和粘附层
CN107424955B (zh) * 2016-05-13 2021-03-02 朗姆研究公司 用于钴的锰阻挡层和粘附层
CN107665860A (zh) * 2016-07-28 2018-02-06 格罗方德半导体公司 具有界面衬里的ic结构及其形成方法
CN107665860B (zh) * 2016-07-28 2021-06-08 格芯(美国)集成电路科技有限公司 具有界面衬里的ic结构及其形成方法
CN111261583A (zh) * 2018-11-30 2020-06-09 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11482495B2 (en) 2018-11-30 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method for making
CN111261583B (zh) * 2018-11-30 2024-05-10 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN112201620A (zh) * 2020-10-27 2021-01-08 合肥晶合集成电路股份有限公司 一种金属互连结构的形成方法
CN112201620B (zh) * 2020-10-27 2024-02-02 合肥晶合集成电路股份有限公司 一种金属互连结构的形成方法

Also Published As

Publication number Publication date
US20150270215A1 (en) 2015-09-24
TWI548032B (zh) 2016-09-01
CN104934409B (zh) 2018-03-23
US9728503B2 (en) 2017-08-08
US20160049373A1 (en) 2016-02-18
TW201541557A (zh) 2015-11-01
US9219033B2 (en) 2015-12-22

Similar Documents

Publication Publication Date Title
CN104934409A (zh) 后道工序互连层上的通孔预填充
US9837354B2 (en) Hybrid copper structure for advance interconnect usage
US20100314765A1 (en) Interconnection structure of semiconductor integrated circuit and method for making the same
TWI518843B (zh) 內連線結構及形成內連線結構的方法
CN104733378A (zh) 半导体结构及其制造方法
US9666529B2 (en) Method and structure to reduce the electric field in semiconductor wiring interconnects
TWI531026B (zh) 形成氧化物經封裝傳導形體之方法及具有該形體之裝置
US7638423B2 (en) Semiconductor device and method of forming wires of semiconductor device
JP2008300652A (ja) 半導体装置の製造方法
JP2009026989A (ja) 半導体装置及び半導体装置の製造方法
US11152258B2 (en) Method of forming an interconnect in a semiconductor device
US7666782B2 (en) Wire structure and forming method of the same
CN102446823A (zh) 一种大马士革制造工艺
TWI483382B (zh) 半導體結構及其形成方法
US20120193755A1 (en) Copper-based metallization system including an aluminum-based terminal layer
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
CN112366195B (zh) 键合方法及键合结构
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
TWI544575B (zh) 半導體結構及其製造方法
JP2005129937A (ja) 低k集積回路相互接続構造
US20230045140A1 (en) Barrier Schemes for Metallization Using Manganese and Graphene
US20230299002A1 (en) Semiconductor devices and methods of formation
KR20070052452A (ko) 반도체 소자의 금속배선 제조방법
TW202341352A (zh) 用於選擇性沉積之金屬表面阻隔分子
US20180053688A1 (en) Method of metal filling recessed features in a substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180323