JP2001319928A - 半導体集積回路装置およびその製造方法 - Google Patents

半導体集積回路装置およびその製造方法

Info

Publication number
JP2001319928A
JP2001319928A JP2000135041A JP2000135041A JP2001319928A JP 2001319928 A JP2001319928 A JP 2001319928A JP 2000135041 A JP2000135041 A JP 2000135041A JP 2000135041 A JP2000135041 A JP 2000135041A JP 2001319928 A JP2001319928 A JP 2001319928A
Authority
JP
Japan
Prior art keywords
film
wiring
insulating film
integrated circuit
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000135041A
Other languages
English (en)
Other versions
JP2001319928A5 (ja
Inventor
Tatsuyuki Saito
達之 齋藤
Tadashi Ohashi
直史 大橋
Toshinori Imai
俊則 今井
Junji Noguchi
純司 野口
Takeshi Tamaru
剛 田丸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2000135041A priority Critical patent/JP2001319928A/ja
Priority to TW090105990A priority patent/TW483105B/zh
Priority to KR1020010017834A priority patent/KR100779295B1/ko
Priority to US09/850,162 priority patent/US6818546B2/en
Publication of JP2001319928A publication Critical patent/JP2001319928A/ja
Priority to US10/970,024 priority patent/US7321171B2/en
Publication of JP2001319928A5 publication Critical patent/JP2001319928A5/ja
Priority to KR1020070077476A priority patent/KR100832177B1/ko
Priority to US11/872,295 priority patent/US7642652B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body

Abstract

(57)【要約】 【課題】 半導体集積回路装置の高速化を図り、また、
エレクトロマイグレーションやストレスマイグレーショ
ンの発生を抑え、配線寿命を長くする技術を提供する。 【解決手段】 半導体基板1上に形成された酸化シリコ
ン膜23および窒化シリコン膜22中の配線溝内を含む
酸化シリコン膜23上にバリア層26aおよび銅膜26
bを順次形成後、前記配線溝外部のバリア層26aおよ
び銅膜26bを除去することによって配線26を形成
し、配線26上にタングステンを選択成長もしくは優先
成長させることにより、配線26上にタングステン膜2
6cを形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置およびその製造技術に関するものであって、特に、絶
縁膜中に配線用の溝を形成後、導電膜を溝内部に埋め込
む、いわゆるダマシン法により形成される配線に適用し
て有効な技術に関するものである。
【0002】
【従来の技術】近年、半導体集積回路装置における配線
の微細化および多層化に伴い、例えば、T.Saito et.al,
in Proceedings of International Interconnect Techn
ologyConference in 1998,pp.160-162等に、絶縁膜中に
配線用の溝を形成後、導電膜を溝内部に埋め込むことに
より配線を形成する、いわゆるダマシン技術が検討され
ている。
【0003】なお、特開平8-222568号公報に
は、絶縁膜中に配線用の溝を形成し、CVD法によりT
iN薄膜からなるバリア層を成膜し、さらに、このバリ
ア層上に銅薄膜を成膜した後、銅薄膜をエッチバック
し、さらに、TiN薄膜から成る保護膜を形成後、エッ
チングすることにより銅薄膜配線上に保護膜を残存させ
る技術が記載されている。
【0004】また、三菱電機技報pp333-336,1997には、
銅ダマシン配線上面にTiWN等のバリア層を設けると
いう技術が記載されている。
【0005】
【発明が解決しようとする課題】上記絶縁膜中に配線用
の溝を形成後、導電膜を溝内部に埋め込むことにより配
線を形成する、いわゆるダマシン技術による配線の形成
において、本発明者らは、以下に示すような問題を認識
した。
【0006】例えば、上記導電膜には銅が用いられる
が、銅は、アルミニウムやタングステン等の金属と比較
して、絶縁膜である酸化シリコン膜中を拡散しやすいと
いう性質を有する。また、導電膜上に直接、酸化シリコ
ン膜を形成すると、接触部分の銅が酸化され配線抵抗が
上昇する。
【0007】従って、配線を覆うバリア膜の検討が重要
となる。この配線を覆うバリア膜のうち、配線溝内部の
バリア膜としては、窒化チタン膜が検討されており、配
線上部を覆う被膜(キャップ膜)として窒化シリコン膜
が検討されている。
【0008】しかしながら、配線上部を覆う窒化シリコ
ン膜によって銅の拡散や酸化を防止するためには、ある
程度の厚さの窒化シリコン膜の形成が必要となる。ま
た、窒化シリコン膜は、誘電率が高いことから配線のR
C時定数が大きくなり、装置の高速動作を妨げる。
【0009】また、銅配線内あるいは銅表面での銅の拡
散によりエレクトロマイグレーションが生じ得るが、銅
の拡散のしやすさを本発明者らが検討した結果、銅−バ
リア膜界面と銅−窒化シリコン膜界面とでは、拡散の活
性化エネルギーが銅−バリア膜界面の方が大きい(すな
わち、銅が拡散しにくい)と推測された。従って、エレ
クトロマイグレーション寿命は、銅−窒化シリコン膜界
面での銅の拡散の活性化エネルギー値により律則される
ことになる。
【0010】また、銅配線上に絶縁膜を介してさらに上
層の配線を形成する場合、絶縁膜中に形成されたプラグ
を介して銅配線と上層配線とが接続されるが、この場
合、銅配線上の窒化シリコン膜は、コンタクトを取るた
め除去されており、プラグ底面は下層配線の銅と直接に
接している。このため、プラグ底部から下層の銅配線へ
の電流パスにより電流が集中してエレクトロマイグレー
ションが生じやすい。さらに、プラグ直下において、エ
レクトロマイグレーションによりボイドが発生すると、
プラグと下層の銅配線との接触面積が小さくなり、加速
的に配線寿命が低下してしまう。
【0011】また、上記プラグの形成に際し、コンタク
トホールを開孔するが、この際もしくはコンタクト特性
を向上させるためのコンタクトホール底部のエッチング
の際に、コンタクトホール底部の銅配線自身がスパッタ
エッチングされてしまうため、コンタクトホール側壁に
銅が付着してしまう。かかる銅は、前述した通り、絶縁
膜中に拡散しやすく、絶縁耐圧の低下やリーク電流の増
加をもたらす。
【0012】また、上述の導電膜を配線溝内部に埋め込
むには、配線溝内部を含む絶縁膜上に、例えば銅膜を形
成し、溝外部の余分な銅膜を化学機械的研磨(CMP:
Chemical Mechanical Polishing)により除去する。こ
の際、銅配線上部には、窪みが生じたり種々の欠陥が生
じる。次いで、銅配線上に窒化シリコン膜を形成する
と、上記欠陥部にボイドが生じ、このボイドがエレクト
ロマイグレーションの起点と成り得る。
【0013】さらに、下層の銅配線に対して上述のコン
タクトホール用のマスクがずれた場合、下層配線の側部
に微細な窪みが生じ得る。このような微細な窪み内に
は、プラグを埋め込むことが困難で、上述の場合と同様
にボイドとなり、エレクトロマイグレーションの起点と
成り得る。さらに、この場合は、プラグと下層配線との
接触面積がマスクずれにより少なくなっているため、か
かる接触部界面に前述のボイドが移動した場合、プラグ
と下層配線の接続を確保できず接続不良となる。
【0014】本発明の目的は、配線上にキャップ導電性
膜を形成することにより、半導体集積回路装置の高速化
を図ることである。
【0015】また、本発明の他の目的は、エレクトロマ
イグレーションやストレスマイグレーションの発生を抑
え、配線寿命を長くすることである。
【0016】また、本発明の他の目的は、コンタクトホ
ール底部エッチングの際に、下地である銅配線が直接ス
パッタされることを防止し、絶縁耐圧の向上や、リーク
電流の低減を図ることである。
【0017】また、本発明の他の目的は、コンタクトホ
ールが配線に対してずれた場合であっても、コンタクト
不良を低減することである。
【0018】本発明の前記目的と新規な特徴は、本明細
書の記述および添付図面から明らかになるであろう。
【0019】
【課題を解決するための手段】本願において開示される
発明のうち代表的なものの概要を簡単に説明すれば、下
記のとおりである。
【0020】本発明の半導体集積回路装置の製造方法
は、配線溝内にバリア層および導電性膜を順次形成後、
配線溝外部のバリア層および導電性膜を除去することに
よって配線を形成した後、配線上にキャップ導電性膜を
選択成長もしくは優先成長させることにより、配線上に
キャップ導電性膜を形成するものである。
【0021】このように、配線上にキャップ導電性膜を
選択成長もしくは優先成長させることにより、容易にキ
ャップ導電性膜を形成することができ、半導体集積回路
装置の高速化を図ることができる。また、エレクトロマ
イグレーションやストレスマイグレーションの発生を抑
え、配線寿命を長くすることができる。さらに、配線上
に形成されるコンタクトホール底部エッチングの際に、
下地である配線が直接スパッタされることを防止でき、
絶縁耐圧の向上や、リーク電流の低減を図ることができ
る。また、このコンタクトホールが配線に対してずれた
場合であっても、コンタクトを維持でき、コンタクト不
良を低減することができる。
【0022】なお、前記配線には、例えば、銅、銀、ア
ルミニウムもしくはこれらの金属を主成分とする合金か
ら成る配線が用いられ、また、前記キャップ導電性膜に
は、例えば、W膜が用いられる。このキャップ導電性膜
には、WN、TiN、Ta,TaNもしくはNi膜を用
いることもできる。さらに、このキャップ導電性膜は、
1Torr(1×1.33322×102Pa)以下の
圧力下で形成することができる。
【0023】また、前記キャップ導電性膜上の絶縁膜
を、TEOS膜もしくは炭素を含むシリコン系絶縁膜と
これらの膜より誘電率の低い膜との積層膜とすることも
できる。また、前記キャップ導電性膜上の絶縁膜を、導
電性膜を構成する導電体材料の拡散を防止する拡散防止
絶縁膜と低誘電絶縁膜とすることもできる。この拡散防
止絶縁膜には、例えば、窒化シリコン膜、PSG膜もし
くは炭化シリコン膜等が用いられる。また、低誘電絶縁
膜には、例えば、TEOS膜もしくはSiOF膜等が用
いられる。
【0024】さらに、前記キャップ導電性膜形成前に、
基板表面をフッ化水素(HF)を含む溶液で洗浄するこ
ともできる。また、前記キャップ導電性膜形成前に、基
板表面を水素処理することもできる。また、前記キャッ
プ導電性膜形成後に、基板表面をフッ化水素(HF)も
しくは過酸化水素(H22)を含む溶液で洗浄すること
もできる。
【0025】これらの処理により信頼性の高いキャップ
導電性膜を形成することができる。
【0026】また、本発明の半導体集積回路装置は、配
線溝側壁および底部に形成されたバリア層と、バリア層
上に形成された導電性膜と、導電性膜上に形成されたキ
ャップ導電性膜とを有するものである。
【0027】このように、導電性膜(配線)上にキャッ
プ導電性膜を形成することにより、半導体集積回路装置
の高速化を図ることができる。また、エレクトロマイグ
レーションやストレスマイグレーションの発生を抑え、
配線寿命を長くすることができる。さらに、配線上に形
成されるコンタクトホール底部エッチングの際に、下地
である配線が直接スパッタされることを防止でき、絶縁
耐圧の向上や、リーク電流の低減を図ることができる。
また、このコンタクトホールが配線に対してずれた場合
であっても、コンタクトを維持でき、コンタクト不良を
低減することができる。
【0028】なお、前記配線には、例えば、銅、銀、ア
ルミニウムもしくはこれらの金属を主成分とする合金か
ら成る配線が用いられ、また、前記キャップ導電性膜に
は、例えば、W膜が用いられる。このキャップ導電性膜
には、WN、TiN、Ta,TaNもしくはNi膜を用
いることもできる。さらに、このキャップ導電性膜は、
選択成長もしくは優先成長により形成された膜であって
も良く、また、1Torr(1×1.33322×10
2Pa)以下の圧力下で形成された膜であっても良い。
また、このキャップ導電性膜の膜厚は、同一配線内で均
一であり、また、配線幅にかかわらず均一とすることが
できる。さらに、このキャップ導電性膜の膜厚のばらつ
きを50%以下とすることができる。また、このキャッ
プ導電性膜の膜厚を、配線溝底部のバリア層より薄くす
ることができる。また、このキャップ導電性膜の膜厚
は、例えば2〜20nmである。
【0029】また、前記キャップ導電性膜上の絶縁膜
を、TEOS膜もしくは炭素を含むシリコン系絶縁膜と
これらの膜より誘電率の低い膜との積層膜とすることも
できる。また、前記キャップ導電性膜上の絶縁膜を、導
電性膜を構成する導電体材料の拡散を防止する拡散防止
絶縁膜と低誘電絶縁膜とすることもできる。この拡散防
止絶縁膜には、例えば、窒化シリコン膜、PSG膜もし
くは炭化シリコン膜等が用いられる。また、低誘電絶縁
膜には、例えば、TEOS膜もしくはSiOF膜等が用
いられる。
【0030】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の部材には同一の符号を付
し、その繰り返しの説明は省略する。
【0031】(実施の形態1)図1から図11は、本発
明の実施の形態1である半導体集積回路装置の製造方法
を工程順に示した断面図である。
【0032】まず、図1(a)に示すように、例えばp
型の単結晶シリコンからなる半導体基板1を用意し、半
導体基板1の主面に素子分離領域2を形成する。素子分
離領域2を形成するには、まず素子分離領域の半導体基
板1をエッチングして深さ350nm程度の溝を形成した
後、半導体基板1を約850℃〜1000℃で熱酸化す
ることによって、この溝の内壁に膜厚10nm程度の薄い
酸化シリコン膜(図示せず)を形成する。次に、溝の内
部を含む半導体基板1上にCVD法で膜厚450〜50
0nm程度の酸化シリコン膜を堆積し、溝外部の酸化シリ
コン膜をCMPにより除去することによって、その表面
を平坦化する。
【0033】次に、半導体基板1にp型不純物(例えば
ホウ素)およびn型不純物(例えばリン)をイオン打込
みした後、半導体基板1を約950℃で熱処理し、上記
不純物を拡散させることによって、p型ウエル3および
n型ウエル4を形成する。
【0034】その後、図1(b)に示すように、フッ酸
を用いたウェットエッチングで半導体基板1の表面を洗
浄し、続いて半導体基板1を約800〜850℃で熱酸
化することによって、その表面に膜厚7nm程度の清浄な
ゲート酸化膜5を形成する。
【0035】次に、多結晶シリコン膜6を形成し、エッ
チングすることにより図2(a)に示すように、ゲート
電極7を形成する。この際、pウエル3上の多結晶シリ
コン6中にn型不純物(例えばリン)を注入し、また、
nウエル4上の多結晶シリコン6中にp型不純物(例え
ばホウ素)を注入しすることにより、nチャネル型MI
SFETのゲート電極をn型と、pチャネル型MISF
ETのゲート電極をp型とした、いわゆるデュアルゲー
ト構造とすることも可能である。デュアルゲート構造を
採用することにより、MISFETの閾値(Vth)を
下げることができ、低電圧でMISFETを駆動するこ
とが可能となる。
【0036】次に、図2(b)に示すように、p型ウエ
ル3上のゲート電極7の両側の半導体基板1にn型不純
物(リンまたはヒ素)をイオン打込みしてn型半導体領
域8(ソース、ドレイン)を形成する。また、n型ウエ
ル4上のゲート電極7の両側の半導体基板1にp型不純
物(例えばホウ素)をイオン打込みしてp型半導体領域
9(ソース、ドレイン)を形成する。
【0037】次いで、サイドウォール10をゲート電極
7の側壁に形成する。サイドウォール10は、例えば、
半導体基板1上にCVD法で膜厚50〜100nm程度の
窒化シリコン膜を堆積した後、この窒化シリコン膜を異
方的にエッチングすることにより形成する。
【0038】次いで、ゲート電極7およびサイドウォー
ル10をマスクに、p型ウエル3には、n型不純物(リ
ンまたはヒ素)をイオン打ち込みすることによってn+
型半導体領域11(ソース、ドレイン)を形成し、n型
ウエル4には、p型不純物(ホウ素)をイオン打ち込み
することによってp+型半導体領域12(ソース、ドレ
イン)を形成する。ここまでの工程で、LDD(Lightly
Doped Drain)構造のソース、ドレインを備えたnチャ
ネル型MISFETQnおよびpチャネル型MISFE
TQpが形成される。
【0039】次に、図3(a)に示すように、広い素子
分離領域2上に抵抗素子を形成する。この抵抗素子は、
素子分離領域2上の導体膜R、導体膜Rを覆う絶縁膜1
3および絶縁膜13上の引き出し電極14からなり、次
のごとく形成する。例えば半導体基板1の全面に不純物
の導入量の少ない多結晶シリコン等の導体膜を堆積し、
これをパターニングすることにより導体膜Rを形成す
る。この導体膜Rには、多結晶シリコン膜等の半導体膜
の他、タングステン等の金属膜を用いることもできる。
【0040】次いで、導体膜R上にCVD法(Chemical
Vapor Deposition)もしくはスパッタ法により、酸化
シリコン膜あるいは窒化シリコン膜等から成る絶縁膜1
3を堆積する。
【0041】さらに、上記絶縁膜13の一部をエッチン
グすることにより接続孔を形成し、この接続孔内を含む
絶縁膜13上に多結晶シリコン膜をCVD法により堆積
した後、接続孔上部に残存するようパターニングするこ
とにより引き出し電極14を形成する。
【0042】次に、半導体基板1の全面にチタン等の高
融点金属膜(図示せず)を形成する。かかる膜には、チ
タンの他、タングステン、コバルト等の金属を用いるこ
ともできる。
【0043】次に、図3(b)に示すように、半導体基
板1にRTA(Rapid Thermal Anneal)法を用いた熱処
理を施すことにより、高融点金属膜とゲート電極7、引
き出し電極14および半導体基板1との接触部に、シリ
サイド層16を形成する。その後、未反応の高融点金属
膜を除去する。これらシリサイド層16を形成すること
により、シリサイド層16と、後述するその上部に形成
されるプラグ21等との接続抵抗を低減することがで
き、また、ゲート電極7やn+型半導体領域11もしく
はp+型半導体領域12自身のシート抵抗を低減するこ
とができる。
【0044】次に、図4(a)に示すように、CVD法
により酸化シリコン膜を堆積することにより、層間絶縁
膜18を形成する。この層間絶縁膜18として、PSG
(Phosphor Silicate Glass)膜もしくはSOG(Spin
On Glass)膜を使用してもよい。また、この層間絶縁膜
18の表面をCMPにより平坦化してもよい。
【0045】次に、図4(b)に示すように、n+型半
導体領域11もしくはp+型半導体領域12上および抵
抗素子Rを構成するシリサイド層16上の層間絶縁膜1
8をエッチングにより除去することによりコンタクトホ
ール20を形成する。
【0046】次に、図5(a)に示すように、コンタク
トホール20内を含む層間絶縁膜18上に、CVD法に
より窒化チタン膜(図示せず)を形成した後、CVD法
によりタングステン(W)膜(請求項3の第1の導電性
膜)を形成する。次いで、コンタクトホール20以外の
窒化チタン膜(TiN)およびタングステン膜をCMP
により除去し、プラグ21を形成する。なお、前記窒化
チタン膜は、スパッタ法により形成してもよい。また、
この窒化チタン膜は、チタンと窒化チタンとの積層膜と
することもできる。
【0047】次に、図5(b)に示すように、層間絶縁
膜18およびプラグ21上に、窒化シリコン膜22を形
成し、次いで、酸化シリコン膜23をCVD法により堆
積する。
【0048】次いで、図6(a)に示すように、第1層
配線形成予定領域上の酸化シリコン膜23をエッチング
により除去し、さらに、このエッチングにより露出した
窒化シリコン膜22をエッチングすることにより配線溝
25を形成する。従って、酸化シリコン膜23のエッチ
ングは、窒化シリコン膜22がエッチングされ難く、酸
化シリコン膜23がエッチングされ易い条件で行う。次
いで、窒化シリコン膜22がエッチングされ易い条件で
エッチングを行う。このように、窒化シリコン膜22
は、エッチングストッパーとして利用されるが、エッチ
ング量を時間等で制御可能な場合は、窒化シリコン膜2
2を形成せず、酸化シリコン膜18上に酸化シリコン膜
23を直接形成してもよい。
【0049】次に、図6(b)に示すように、配線溝2
5内を含む酸化シリコン膜23上に窒化チタンからなる
バリア層26aをスパッタ法もしくはCVD法により堆
積し、次いで、バリア層26a上に、銅膜26b(請求
項1、18および19の導電性膜、請求項2の第1の導
電性膜、請求項3の第2の導電性膜)をスパッタ法によ
り形成する。この際、ターゲットとウエハ間距離は30
0mm、成膜圧力は0.2mTorr(0.2×1.3
3322×10-1Pa)以下、成膜初期温度は20℃で
最終到達温度は300℃の条件で、平坦部で厚さ0.4
μmの銅膜を形成する。次いで、還元雰囲気中でアニー
ル、例えば、15Torr(15×1.33322×1
2Pa)、430℃の水素雰囲気中で2分間処理を行
う。この処理は、銅膜表面の酸化層を還元し、かつ、銅
膜を流動化させることにより、配線溝内への銅の埋めこ
み特性を向上させるために行う。なお、銅膜を電解メッ
キ法により形成することもできる。その場合は、スパッ
タ法により薄い銅膜を形成した後、かかる膜をシード膜
としメッキ膜を成長させることも可能である。また、バ
リア層26aは、窒化チタンのみならず、タンタル(T
a)、窒化タンタル(TaN)、タングステン(W)も
しくは窒化タングステン(WN)等の単層膜を用いるこ
ともできる。また、チタン膜上に窒化チタン膜を形成
し、さらにチタン膜を形成した3層の積層膜(Ti/T
iN/Ti)の他、Ti/TiN、Ta/TaN/T
a、Ta/TaN等の積層膜を用いることもできる。
【0050】次に、図7(a)に示すように、配線溝2
5外部の銅膜26bおよび窒化チタン膜26aをCMP
により除去して配線26を形成する。
【0051】次いで、配線26上にタングステンを選択
成長もしくは優先成長させることにより、配線26(銅
膜26b)上に2〜20nm程度のタングステン膜26
c(請求項のキャップ導電性膜)を形成する。タングス
テン膜26cは、例えば、0.3Torr(0.3×
1.33322×102Pa)、設定温度460℃で、
6フッ化タングステン(WF6)流量5scc、水素
(H2)流量500sccの条件下で、1.5分間処理
を行うことにより形成する。
【0052】かかる処理により、配線26上にのみにタ
ングステンが選択的に成長もしくは、酸化シリコン膜1
8上に比べ配線26上にタングステンが優先的に成長す
る。
【0053】なお、この選択成長もしくは優先成長は、
CVD法もしくはメッキ法により達成することができ、
CVD法により成膜する場合は、成膜圧力2Torr
(2×1.33322×102Pa)以下、温度250
℃、6フッ化タングステン(WF6)と水素(H2)の流
量比WF6/H2が1/50以下の条件で成膜することが
できる。
【0054】また、タングステンの他、TiN、Ta、
TaN、WN若しくはNi膜等も配線26上のキャップ
導電性膜として使用できる。ここで、タングステンの抵
抗が5〜20μΩ・cmとTiNのそれ80〜150μ
Ω・cmより小さいことからタングステン膜を用いるこ
とにより低抵抗で良好なコンタクトを図ることができ
る。
【0055】このように、本実施の形態によれば、配線
26上にタングステンを選択成長もしくは優先成長によ
り形成したので、配線26の上面が直接窒化シリコン膜
や酸化シリコン膜等の絶縁膜と接触することがなく、同
じ金属であるタングステン膜26cと接触するためエレ
クトロマイグレーションを低減することができる。これ
は、前述した通り、銅とバリア膜界面と銅と窒化シリコ
ン膜界面とでは、拡散の活性化エネルギーが銅とバリア
膜界面の方が大きい(すなわち、銅が拡散しにくい)た
めと推測される。この結果、配線寿命を向上させること
ができる。
【0056】また、配線26の側面および底面はバリア
層26aで、その上面はタングステン膜26cで覆わ
れ、配線26の周囲がすべて硬い金属で覆われることと
なるためストレスマイグレーションによる配線欠け等を
も防止することができる。この結果、配線寿命を向上さ
せることができる。
【0057】また、配線溝25内に銅膜26bを埋め込
む際の埋めこみ不良や、配線26形成時のCMPやその
後の熱処理によって配線26表面に、欠け、収縮もしく
はスクラッチ等が生じた場合であっても(図22
(a))、配線26表面にタングステン膜26cが形成
されることにより、欠け等の欠陥部を被覆することとな
り、欠陥の修復を行うことができる(図22(b))。
なお、図22(a)は、配線26上に欠陥が生じた場合
を模式的に現した図であり、図22(b)は、(a)に
示す配線26上に、前述のタングステン膜を選択成長も
しくは優先成長させた後の模式図である。
【0058】特に、上述したように、膜厚2〜20nm
程度のタングステンの成長は、比較的低圧(1Torr
(1×1.33322×102Pa)以下)で行うこと
が可能であるため、配線26表面の被覆性が良く、小さ
な欠陥をも修復することができる。
【0059】さらに、これら欠陥はボイドの原因とな
り、かかるボイドを起点としエレクトロマイグレーショ
ンが誘発されるため、欠陥を修復することによりエレク
トロマイグレーションを低減することができる。この結
果、配線寿命を向上させることができる。
【0060】次に、図8(a)に示すように、酸化シリ
コン膜23および配線26(タングステン膜26c)上
に、窒化シリコン膜28を形成し、次いで、酸化シリコ
ン膜29をCVD法により堆積する。
【0061】次いで、配線26のコンタクト領域上の酸
化シリコン膜29をエッチングにより除去し、さらに、
このエッチングにより露出した窒化シリコン膜28をエ
ッチングすることによりコンタクトホール30を形成す
る。従って、酸化シリコン膜29のエッチングは、窒化
シリコン膜28がエッチングされ難く、酸化シリコン膜
29がエッチングされ易い条件で行う。次いで、窒化シ
リコン膜28がエッチングされ易い条件でエッチングを
行う。このように、窒化シリコン膜28は、エッチング
ストッパーとして利用されるが、エッチング量を時間等
で制御可能な場合は、窒化シリコン膜28を形成せず、
酸化シリコン膜23および配線26上に酸化シリコン膜
29を直接形成してもよい。なお、窒化シリコン膜を用
いない場合は、配線間容量を低減することができ、回路
動作の高速化を図ることができる。
【0062】ここで、コンタクトホール30形成の際も
しくは後述するコンタクトホール30の底面のエッチン
グの際、下層の配線26は、その表面が固いタングステ
ン膜26cで覆われているため、スパッタエッチングの
際の銅の飛散を防止することができる。即ち、タングス
テン膜26cが形成されない場合は、図23(a)に示
すように、配線表面がエッチングされ、飛散した銅がコ
ンタクトホール30側壁に付着する。これに対し、下層
の配線26の表面が固いタングステン膜26cで覆われ
ている本実施の形態の場合は、図23(b)に示すよう
に、銅の飛散を防止することができる。従って、後述す
るバリア層の下部に銅の汚染層が形成されることがな
く、酸化シリコン膜(絶縁膜)への銅汚染を防止するこ
とができる。
【0063】また、選択成長もしくは優先成長を利用す
ることにより、配線26(銅膜26c)表面に容易にタ
ングステン膜26cを形成することができる。また、こ
のような選択成長もしくは優先成長によれば配線表面に
比較的均一なタングステン膜26cを形成することがで
きる。例えば、配線幅の異なる複数の配線を形成するよ
うな場合であっても、配線幅によらずに比較的均一なタ
ングステン膜を形成することができる。また、同一配線
内においても、その端部や中央部における膜厚を比較的
均一(ばらつき50%以下)にすることができる。ま
た、選択成長もしくは優先成長によれば配線26表面の
タングステン膜26cを、配線側壁および底部を覆うバ
リア層26a(特にその底部の膜厚)より薄く形成する
ことができ、上層配線との間で良好なコンタクト特性を
得ることができる。
【0064】また、従来検討されていた配線26表面の
窒化シリコン膜を、タングステン膜とすることができる
ため、誘電率が高く、高速動作の妨げとなっていた銅の
拡散防止用の窒化シリコン膜の使用量を低減もしくは廃
止することができ、装置の高速動作を図ることができ
る。
【0065】また、後述するプラグ30直下にボイドが
発生しコンタクト領域を覆った場合においても、図24
(b)に示すように、タングステン膜26cが電流パス
となりコンタクトを図ることができる。なお、従来検討
されていた窒化シリコン膜を配線26表面に形成した場
合には図24(a)に示すように、発生したボイドによ
りコンタクトが阻害される。
【0066】図29は、銅膜のCMP後:A、タングス
テン膜形成後:Bおよび酸化シリコン膜形成後:Cの配
線抵抗を示す図である。なお、この場合、配線上に直接
酸化シリコン膜を形成しており、窒化シリコン膜は使用
していない。2種の配線(0.4μm幅(○、●)およ
び0.8μm幅(□、■))に対し、配線上にタングス
テン膜を形成した場合(●、■)と、形成しなかった場
合(○、□)とにおいて、タングステン膜形成の前後の
状態について配線抵抗を測定した。この場合の配線抵抗
とは、深さ0.4μm、長さ1mmにパターニングされ
た配線の抵抗をいう。図29に示すように、タングステ
ン膜を形成した場合(●、■)においては、タングステ
ン膜形成後に酸化シリコン膜を形成しても配線抵抗は、
ほとんど変化しなっかた(●:140Ω、■65Ω)の
に対し、タングステン膜を形成せず(○、□)、配線上
に直接酸化シリコン膜を形成した場合には、配線抵抗が
極端に上昇した(○:140から400Ω、□:65か
ら180Ω)。
【0067】これは、配線と酸化シリコンの接触部にお
いて配線(銅膜26c)が酸化されたことが原因と考え
られる。このように、タングステン膜26cを形成する
ことにより、配線26表面の酸化を防止することがで
き、配線抵抗の上昇を低減することができる。
【0068】次に、図8(b)に示すようにコンタクト
ホール30内に、プラグ31を形成する。このプラグ3
1の形成前に、良好なコンタクト特性を得るため、コン
タクトホール30の底面をエッチングする。
【0069】なお、このエッチングもしくは前述のコン
タクトホール30の開孔の際のエッチングによって、コ
ンタクトホール30底面のタングステン膜26cもエッ
チングされる。また、これらのエッチングによってコン
タクトホール底面のタングステン膜26cが消失しても
かまわなし、別途、コンタクトホール底面のタングステ
ン膜26cのエッチングを行ってもよい。
【0070】前記プラグ31は次のように形成する。ま
ず、コンタクトホール30内を含む酸化シリコン膜29
上に、CVD法もしくはスパッタ法により窒化チタン膜
31aを形成した後、CVD法によりタングステン膜3
1bを形成する。次いで、コンタクトホール30外部の
窒化チタン膜31aおよびタングステン膜31bをCM
Pにより除去し、プラグ31を形成する。なお、配線2
6と同様に、窒化チタン膜31a上に、銅膜をスッパタ
法もしくはメッキ法により形成し、銅プラグ31として
も良い。この場合、窒化チタン膜31aに変えて、例え
ば、タンタル、窒化タンタル、タングステンもしくは窒
化タングステン等の単層膜や、チタン膜上に窒化チタン
膜を形成し、さらにチタン膜を形成した3層の積層膜
(Ti/TiN/Ti)の他、Ti/TiN、Ta/T
aN/Ta、Ta/TaN等の積層膜を用いても良い。
【0071】次に、図9に示すように、配線26の場合
と同様に、配線35を形成する。まず、酸化シリコン膜
29およびプラグ31上に、窒化シリコン膜32を形成
し、次いで、酸化シリコン膜33をCVD法により堆積
する。
【0072】次いで、配線溝25の場合と同様に、第2
層配線形成予定領域上の酸化シリコン膜33をエッチン
グにより除去し、さらに、このエッチングにより露出し
た窒化シリコン膜32をエッチングすることにより配線
溝34を形成する。
【0073】次に、配線溝34内を含む酸化シリコン膜
33上に窒化チタンからなるバリア層35aをスパッタ
法もしくはCVD法により堆積し、次いで、バリア層3
5a上に、銅膜35bをスパッタ法により形成する。こ
の際の成膜条件は、銅膜26bの場合と同じである。
【0074】次に、配線溝34外部の銅膜35bおよび
バリア層35aをCMPにより除去して配線35を形成
する。
【0075】次いで、配線35(銅膜35b)上にタン
グステンを選択成長もしくは優先成長させることにより
配線35上にタングステン膜35cを選択的もしくは優
先的に形成する。この際の成膜条件は、配線26上のタ
ングステン膜26cの場合と同じである。
【0076】次に、図10に示すように、酸化シリコン
膜33および配線35(タングステン膜35c)上に、
窒化シリコン膜36を形成し、次いで、酸化シリコン膜
37をCVD法により堆積する。
【0077】次いで、コンタクトホール30と同様に、
配線35のコンタクト領域上の酸化シリコン膜37をエ
ッチングにより除去し、さらに、このエッチングにより
露出した窒化シリコン膜36をエッチングすることによ
りコンタクトホール38を形成する。
【0078】次に、プラグ31と同様に、コンタクトホ
ール38内を含む酸化シリコン膜37上に、スパッタ法
もしくはCVD法により窒化チタン膜39aを形成し
後、CVD法によりタングステン膜39bを形成する。
次いで、コンタクトホール38以外の窒化チタン膜39
aおよびタングステン膜39bをCMPにより除去し、
プラグ39を形成する。なお、プラグ39も銅プラグと
しても良い。
【0079】次に、酸化シリコン膜37およびプラグ3
9上にチタン膜もしくは窒化チタン膜40a、アルミニ
ウム膜40bおよび窒化チタン膜40cを順次堆積し、
これら積層膜を所望の形状にパターニングすることによ
りプラグ39上まで延在する配線40を形成する。
【0080】次いで、図11に示すように、配線40お
よび酸化シリコン膜37上に酸化シリコン膜41をCV
D法により堆積した後、この酸化シリコン膜41上にS
OG膜42を形成する。このSOG膜42を形成するこ
とにより、配線40により生じた凹凸を平坦化すること
ができる。なお、SOG膜42上にCVD法によりTE
OS膜等の酸化シリコン膜42aを形成しても良い。
【0081】さらに、配線40のコンタクト領域上の酸
化シリコン膜42a、SOG膜42および酸化シリコン
膜41をエッチングにより除去する。続いて、配線40
のコンタクト領域および酸化シリコン膜42a上に、ス
パッタ法によりアルミニウム膜を堆積し、所望の形状に
パターニングすることによって、配線43を形成する。
【0082】次いで、配線43上に窒化シリコン膜44
を形成し、窒化シリコン44上に酸化シリコン膜45形
成する。これら窒化シリコン膜44および酸化シリコン
膜45は、外部からの水分や不純物の侵入防止や、α線
の透過の抑制を行うパッシベーション膜として機能す
る。
【0083】次に、酸化シリコン膜45および窒化シリ
コン膜44をエッチングにより除去することにより配線
43の一部(ボンディングパッド部)を露出させる。続
いて、露出した配線43上に金膜およびニッケル膜等の
積層膜からなるバンプ下地電極47を形成し、バンプ下
地電極47上に金もしくは半田等からなるバンプ電極4
8を形成する。このバンプ電極48は外部接続用電極と
なる。
【0084】この後、パッケージ基板等に実装され半導
体集積回路装置が完成するが、それらの説明は省略す
る。
【0085】なお、本実施の形態においては、第1層配
線として配線26を、第2層配線として配線35を形成
し、第2層配線上にプラグ39を介してアルミニウム配
線40を形成したが、図12に示すように、第2層配線
35とアルミニウム配線40との間に第3層配線M3お
よび第4層配線M4を形成してもよい。かかる場合、第
3層配線M3および第4層配線M4も、第1および第2
層配線26、35と同様に形成し、配線表面にはタング
ステン膜M3c、M4cが形成される。また、第3層配
線と第4層配線との間のプラグP3および第4層配線と
アルミニウム配線4おとの間のプラグP4は、プラグ3
1および39と同様に形成する。ここで、49、51、
53、55は、窒化シリコン膜であり、50、52、5
4、56は、酸化シリコン膜である。
【0086】また、本実施の形態においては、配線2
6、35等上に、タングステン膜26c、35c等を選
択成長もしくは優先成長させたが、プラグ31、39等
上にタングステン膜を選択成長もしくは優先成長させて
も良い。
【0087】(実施の形態2)実施の形態1において
は、配線を、配線間を接続するプラグと異なる工程で形
成する、いわゆるシングルダマシン法により形成した
が、デュアルダマシン法により形成することもできる。
図13から図20は、本発明の実施の形態2である半導
体集積回路装置の製造方法を工程順に示した断面図であ
る。なお、図1から図6(a)を用いて説明したプラグ
21形成までの工程は、実施の形態1の場合と同じであ
るためその説明を省略する。
【0088】図6(a)に示す半導体基板1には、p型
ウエル3上およびn型ウエル4上に、それぞれLDD(L
ightly Doped Drain)構造のソース、ドレインを備えた
nチャネル型MISFETQnおよびpチャネル型MI
SFETQpが形成され、また、広い素子分離領域2上
に導体膜R、導体膜Rを覆う絶縁膜13および絶縁膜1
3上の引き出し電極14から成る抵抗素子が形成されて
いる。さらに、これら半導体素子上には層間絶縁膜18
が形成され、かかる層間絶縁膜18中には、MISFE
Tのソース・ドレイン領域上および抵抗素子上に到達す
るプラグ21が形成されている。
【0089】このような半導体基板1を準備し、図13
(a)に示すように、層間絶縁膜18およびプラグ21
上に、窒化シリコン膜22を形成し、次いで、酸化シリ
コン膜23をCVD法により堆積する。
【0090】次いで、図13(b)に示すように、第1
層配線形成予定領域上の酸化シリコン膜23をエッチン
グにより除去し、さらに、このエッチングにより露出し
た窒化シリコン膜22をエッチングすることにより配線
溝25を形成する。従って、酸化シリコン膜23のエッ
チングは、窒化シリコン膜22がエッチングされ難く、
酸化シリコン膜23がエッチングされ易い条件で行う。
次いで、窒化シリコン膜22がエッチングされ易い条件
でエッチングを行う。このように、窒化シリコン膜22
は、エッチングストッパーとして利用されるが、エッチ
ング量を時間等で制御可能な場合は、窒化シリコン膜2
2を形成せず、酸化シリコン膜18上に酸化シリコン膜
23を直接形成してもよい。
【0091】次に、図14(a)に示すように、配線溝
25内を含む酸化シリコン膜22上に窒化チタンからな
るバリア層26aをスパッタ法もしくはCVD法により
堆積し、次いで、バリア層26a上に、銅をスパッタ法
により形成する。この際、ターゲットとウエハ間距離は
300mm、成膜圧力は0.2mTorr(0.2×
1.33322×10-1Pa)以下、成膜初期温度は2
0℃で最終到達温度は300℃の条件で、平坦部で厚さ
0.4μmの銅膜を形成する。次いで、還元雰囲気中で
アニール、例えば、15Torr(15×1.3332
2×102Pa)、430℃の水素雰囲気中で2分間処
理を行う。この処理は、銅膜表面の酸化層を還元し、か
つ、銅膜を流動化させることにより、配線溝内への銅の
埋めこみ特性を向上させるために行う。
【0092】なお、銅膜を電解メッキ法により形成する
こともできる。この場合、スパッタ法により薄い銅膜を
形成した後、かかる膜をシード膜としメッキ膜を成長さ
せる。即ち、ターゲットとウエハ間距離は300mm、
成膜圧力は0.2mTorr(0.2×1.33322
×10-1Pa)以下、成膜初期温度は10℃、最終到達
温度は50℃の条件で、平坦部で厚さ200nmの銅膜
を形成する。次いで、硫酸および硫酸銅ベースのメッキ
液に半導体基板1を浸漬し、半導体基板1に負の電位を
印加することにより電解メッキを行い、平坦部において
膜厚600nmの銅膜を形成する。図14(a)中に
は、この電解メッキ法により形成された銅膜26bの状
態を示す。また、前記シード膜をCVD法により形成し
てもよい。
【0093】また、バリア層26aは、窒化チタンのみ
ならず、タンタル、窒化タンタル、タングステンもしく
は窒化タングステン等の単層膜を用いることもできる。
また、チタン膜上に窒化チタン膜を形成し、さらにチタ
ン膜を形成した3層の積層膜(Ti/TiN/Ti)の
他、Ti/TiN、Ta/TaN/Ta、Ta/TaN
等の積層膜を用いることもできる。
【0094】次に、図14(b)に示すように、配線溝
25外部の銅膜26bおよびバリア層26aをCMPに
より除去して配線26を形成する。
【0095】次いで、図15(a)に示すように、配線
26(銅膜26b)上にタングステンを選択成長もしく
は優先成長させることにより、配線26上に2〜20n
m程度のタングステン膜26cを形成する。タングステ
ン膜26cは、例えば、0.3Torr(0.3×1.
33322×102Pa)、設定温度460℃で、フッ
化タングステン(WF6)流量5scc、水素(H2)流
量500sccの条件下で、1.5分間処理を行うこと
により形成する。
【0096】かかる処理により、配線26上にのみにタ
ングステンが選択的に成長もしくは、酸化シリコン膜1
8上に比べ配線26上にタングステンが優先的に成長す
る。
【0097】なお、タングステンの他、TiN、Ta、
TaN、WN若しくはなお、タングステンの他、Ti
N、Ta、TaN、WN若しくはNi膜等も配線26上
のキャップ導電性膜として使用できる。ここで、タング
ステンの抵抗が5〜20μΩ・cmとTiNのそれ80
〜150μΩ・cmより小さいことからタングステン膜
を用いることにより低抵抗で良好なコンタクトを図るこ
とができる。
【0098】このように、本実施の形態によれば、配線
26上にタングステンを選択成長もしくは優先成長によ
り形成したので、配線26の上面が直接窒化シリコン膜
や酸化シリコン膜等の絶縁膜と接触することがなく、同
じ金属であるタングステン膜26cと接触するためエレ
クトロマイグレーションを低減することができる。これ
は、前述した通り、銅とバリア膜界面と銅と窒化シリコ
ン膜界面とでは、拡散の活性化エネルギーが銅とバリア
膜界面の方が大きい(すなわち、銅が拡散しにくい)た
めと推測される。この結果、配線寿命を向上させること
ができる。
【0099】また、配線26の側面および底面はバリア
層26aで、その上面はタングステン膜26cで覆わ
れ、配線26の周囲がすべて硬い金属で覆われることと
なるためストレスマイグレーションによる配線欠け等を
も防止することができる。この結果、配線寿命を向上さ
せることができる。
【0100】また、配線溝25内に銅膜26bを埋め込
む際の埋めこみ不良や、配線26形成時のCMPやその
後の熱処理によって配線26表面に、欠け、収縮もしく
はスクラッチ等が生じた場合であっても(図22
(a))、配線26表面にタングステン膜26cが形成
されることにより、欠け等の欠陥部を被覆することとな
り、欠陥の修復を行うことができる(図22(b))。
【0101】特に、上述したように、膜厚2〜20nm
程度のタングステンの成長は、比較的低圧(1Torr
(1×1.33322×102Pa)以下)で行うこと
が可能であるため、配線26表面の被覆性が良く、小さ
な欠陥をも修復することができる。
【0102】さらに、これら欠陥はボイドの原因とな
り、かかるボイドを起点としエレクトロマイグレーショ
ンが誘発されるため、欠陥を修復することによりエレク
トロマイグレーションを低減することができる。この結
果、配線寿命を向上させることができる。
【0103】次に、図15(b)に示すように、酸化シ
リコン膜23および配線26上に、窒化シリコン膜2
8、酸化シリコン膜29、窒化シリコン膜32および酸
化シリコン膜33を順次CVD法により堆積する。ここ
で、窒化シリコン膜32は、配線溝34を形成する際の
エッチングストッパーとして、また、窒化シリコン膜2
8は、下層配線26との接続を図るためのコンタクトホ
ール30を形成する際のエッチングストッパーとして機
能する。
【0104】次に、図16(a)に示すように、配線2
6のコンタクト領域上の酸化シリコン膜33、窒化シリ
コン膜32および酸化シリコン膜29をエッチングによ
り除去し、さらに、このエッチングにより露出した窒化
シリコン膜28をエッチングすることによりコンタクト
ホール30を形成する。
【0105】次いで、図16(b)に示すように、コン
タクトホール30内を含む酸化シリコン膜33上に反射
防止膜もしくはレジスト膜33aを形成し、コンタクト
ホール内を反射防止膜もしくはレジスト膜33aで埋め
込む。さらに、図17(a)に示すように、第2層配線
形成予定領域を開口したフォトレジスト膜(図示せず)
をマスクに、反射防止膜もしくはレジスト膜33a、酸
化シリコン膜33をエッチングする。続いて、このエッ
チングにより露出した窒化シリコン膜32をエッチング
することにより配線溝34を形成する。この際コンタク
トホール30内には、反射防止膜もしくはレジスト膜3
3aが残存する。次に、コンタクトホール内に残存した
反射防止膜もしくはレジスト膜33aと上記フォトレジ
スト膜を除去する。
【0106】以上の工程により、図17(b)に示すよ
うに、第2層配線用の配線溝34と、第2層配線と第1
層配線とを接続するためのコンタクトホール30が形成
される。
【0107】なお、第2層配線形成予定領域を開口した
フォトレジスト膜をマスクに、酸化シリコン膜33およ
び窒化シリコン膜32をエッチングすることにより、配
線溝34を形成した後、配線溝34内を反射防止膜等で
埋め込み、第1層配線上のコンタクト領域を開口したフ
ォトレジスト膜をマスクに、反射防止膜、酸化シリコン
膜29および窒化シリコン膜28をエッチングすること
によりコンタクトホール30を形成してもよい。
【0108】また、エッチングストッパーとして用いら
れる窒化シリコン膜32、28は、エッチング量を時間
等で制御可能な場合は、省略することが可能である。即
ち、酸化シリコン膜23および配線26上に酸化シリコ
ン膜29および33の膜厚を合わせた膜厚を有する酸化
シリコン膜を形成し、第2層配線形成予定領域を開口し
たレジスト膜をマスクに、一定時間酸化シリコン膜をエ
ッチングし、配線溝34を形成した後、第1層配線上の
コンタクト領域を開口したレジスト膜をマスクに、コン
タクトホール30を形成してもよい。この場合において
も、コンタクトホール30を形成後、配線溝34を形成
してもよい。
【0109】また、タングステン膜26cおよび酸化シ
リコン膜23(A膜種という)上に、酸化シリコン膜2
9(B膜種という)を形成した後、その上に、酸化シリ
コン膜33をA膜種で、もしくは、酸化シリコン膜2
9、23とそれぞれ異なるC膜種で形成し、酸化シリコ
ン膜33(AもしくはC膜種)のエッチングにおいて
は、その下層の酸化シリコン膜29(B膜種)がエッチ
ングされ難く、酸化シリコン膜33(AもしくはC膜
種)がエッチングされ易い条件で行う。次いで、酸化シ
リコン膜29(B膜種)のエッチングにおいては、その
下層のタングステン膜26cがエッチングされ難く、酸
化シリコン膜29(B膜種)がエッチングされ易い条件
で行う。
【0110】これらA、BおよびC膜種は、酸化シリコ
ン膜に限られず、絶縁膜であれば良い。これらの膜の例
としては、CVD酸化シリコン膜、有機系もしくは無機
系の塗布剤、PIQ膜の他、SiOF膜もしくはポーラ
スシリカ等が挙げられる。
【0111】続いて、良好なコンタクト特性を得るた
め、コンタクトホール30底面をエッチングする。
【0112】次に、図18(a)に示すように、配線溝
34およびコンタクトホール30内を含む酸化シリコン
膜32上に窒化チタンからなるバリア層35aをスパッ
タ法もしくはCVD法により堆積し、次いで、バリア層
35a上に、銅膜35b(請求項1、4、5、18およ
び19記載の導電性膜、請求項2および20記載の第1
の導電性膜)を銅膜26bと同様に、電解メッキ法によ
り形成する。また、銅膜35bを、スパッタ法により形
成することもできる。また、バリア層は、窒化チタンの
みならず、実施の形態1で説明したように、タンタル等
の単層膜もしくは、Ti/TiN/Ti膜等の積層膜と
することもできる。
【0113】次に、図18(b)に示すように、配線溝
34およびコンタクトホール30外部の銅膜35bおよ
びバリア層35aをCMPにより除去して、配線35お
よび配線35と配線26との接続部を形成する。
【0114】このように、デュアルダマシン法により、
配線溝35およびコンタクトホール30内に同時に銅膜
35bを埋め込めば、第2層配線と第1層配線との接続
が、銅膜35b−窒化チタン35a−タングステン35
c−銅膜26bとなるため実施の形態1に比べ良好なコ
ンタクト特性を得ることができる。
【0115】次いで、図19に示すように、配線35
(銅膜35b)上にタングステンを選択成長もしくは優
先成長させることにより2から20nm程度のタングス
テン膜35c(請求項のキャップ導電性膜)を形成す
る。タングステン膜35cは、例えば、0.3Torr
(0.3×1.33322×102Pa)、設定温度4
60℃で、WF6流量5scc、H2流量500sccの
条件で、1.5分間処理を行うことにより形成する。
【0116】かかる処理により、配線35(銅膜35
b)上のみにタングステンが選択的に成長もしくは、酸
化シリコン膜34上より配線35上にタングステンが優
先的に成長する。
【0117】ここで、コンタクトホール30形成の際も
しくはコンタクトホール30底面のエッチングの際、ス
パッタエッチングの際の銅の飛散を防止することができ
るのは、図23を参照しながら説明した実施の形態1の
場合と同様である。
【0118】また、選択成長もしくは優先成長を利用す
ることにより、配線35表面に容易にタングステン膜を
形成することができ、配線表面に比較的均一なタングス
テン膜を形成することができるのも実施の形態1で説明
した通りである。また、選択成長もしくは優先成長によ
れば配線表面のタングステン膜を、薄く形成することが
でき、良好なコンタクト特性を得ることができる点、誘
電率が高く、高速動作の妨げとなっていた銅膜表面の窒
化シリコン膜を、タングステン膜とすることができるた
め装置の高速動作を図ることができる点、後述するプラ
グ近傍にボイドが発生し、コンタクト領域を覆った場合
においてもタングステン膜が電流パスとなりコンタクト
を図ることができる点(図24参照)も実施の形態1で
説明した通りである。
【0119】さらに、実施の形態1において、図29を
参照しながら説明した通り、タングステン膜35cを形
成することにより、配線35表面の酸化を防止すること
ができ、配線抵抗の上昇を低減することができる。
【0120】また、タングステンの他、TiN、Ta、
TaN、WN若しくはNi等を銅配線上に形成すること
も考え得るが、タングステンの抵抗が5〜20μΩ・c
mと例えば、TiNのそれ80〜150μΩ・cmより
小さいことからタングステン膜を用いることにより良好
なコンタクトを図ることができる。
【0121】次に、図20に示すように、酸化シリコン
膜33および配線35上に、窒化シリコン膜36および
酸化シリコン膜37をCVD法により順次形成した後、
プラグ39を形成し、さらに、配線40を形成するので
あるが、これらの形成工程は実施の形態1の場合と同様
であるためその詳細な説明は省略する。また、配線40
上に酸化シリコン膜41等を介して形成される配線43
および配線43上に窒化シリコン膜44および酸化シリ
コン膜45を介して形成されるバンプ下地電極47およ
びバンプ電極48も実施の形態1と同様の工程で形成さ
れるため、その詳細な説明は省略する。
【0122】また、バンプ電極48形成後、パッケージ
基板等に実装され半導体集積回路装置が完成するがそれ
らの説明は省略する。
【0123】なお、本実施の形態においては、第1層配
線26、第2層配線35を形成し、第2層配線35上に
プラグ39を介してアルミニウム配線40を形成した
が、実施の形態1の場合と同様に、第2層配線とアルミ
ニウム配線40との間に第3層配線M3および第4層配
線M4を形成してもよい(図21)。かかる場合、第3
層配線および第4層配線も、第1および第2層配線と同
様にデュアルダマシン法により形成する。即ち、配線溝
とコンタクトホールを形成した後、これらを同時に埋め
込むことにより配線を形成する。さらに、この配線表面
にはタングステン膜(3Mc、4Mc)が形成される。
【0124】(実施の形態3)実施の形態1および実施
の形態2では、タングステン膜26c、35c形成後
(実施の形態1においては図7(b)、実施の形態2に
おいては図19参照)、タングステン膜26c、35c
上に窒化シリコン膜28、36および酸化シリコン膜2
9、37を形成したが、図25(a)および(b)に示
すように、タングステン膜26c、35c上にテトラエ
トキシシランを原料ガスとしてCVD法により堆積した
酸化シリコン膜(以下TEOS膜という)328、33
6を薄く形成し、その上部にTEOS膜より誘電率の低
い絶縁膜(誘電率4以下)329、337を形成しても
よい。なお、TEOS膜328、336に変えて、炭化
シリコン膜等の炭素を含むシリコン系絶縁膜としても良
い。
【0125】このように、タングステン膜26c、35
c上にTEOS膜328、336を形成すれば、緻密な
TEOS膜によって配線26、35を保護することがで
き、誘電率の低い絶縁膜329、337を形成すれば、
半導体集積回路装置の動作の高速化を図ることができ
る。
【0126】なお、タングステン膜26c、35c形成
までの工程は、実施の形態1もしくは実施の形態2で説
明した場合と同様であるためその説明を省略する。
【0127】また、前記絶縁膜329、337の形成後
の工程は、実施の形態1および実施の形態2における酸
化シリコン膜29、37の形成後の工程と同様であるた
めその説明を省略する。
【0128】(実施の形態4)実施の形態1および実施
の形態2では、タングステン膜26c、35c形成後
(実施の形態1においては図7(b)、実施の形態2に
おいては図19参照)、タングステン膜26c、35c
上に窒化シリコン膜28、36および酸化シリコン膜2
9、37を形成したが、図26(a)および(b)に示
すように、タングステン膜26c、35c上に窒化シリ
コン膜、PSG膜もしくは炭化シリコン膜等の銅の拡散
を防止する能力のある絶縁膜428、436を形成し、
その上部に、この絶縁膜428、436より誘電率の低
い低誘電材料からなる絶縁膜429、437を形成して
もよい。この低誘電材料からなる絶縁膜429、437
には、例えば、誘電率4以下の絶縁膜が挙げられ、TE
OS膜、SiOF膜、有機系塗布膜およびポーラスシリ
カ膜等が挙げられる。
【0129】このように、タングステン膜26c、35
c上に窒化シリコン膜等428、436を形成すれば、
タングステン膜26c、35cの膜厚が充分でない部分
においても窒化シリコン膜等428、436によって銅
の窒化シリコン膜428、436およびTEOS膜42
9、437への拡散を防止することができる。また、T
EOS膜429、437は、誘電率が4以下であり比較
的低誘電であるため、絶縁膜全体の誘電率を低下させる
ことができ、半導体集積回路装置の動作の高速化を図る
ことができる。
【0130】なお、タングステン膜26c、35c形成
までの工程は、実施の形態1もしくは実施の形態2で説
明した場合と同様であるためその説明を省略する。
【0131】また、低誘電材料からなる絶縁膜429、
437の形成後の工程は、実施の形態1および実施の形
態2における酸化シリコン膜29、37の形成後の工程
と同様であるためその説明を省略する。
【0132】(実施の形態5)実施の形態1および実施
の形態2では、銅膜26b、35b等のCMP後、配線
26、35の表面にタングステン膜26c、35cを選
択成長もしくは優先成長させたが、タングステン膜26
c、35c形成前に、次のような前処理を行ってもよ
い。
【0133】銅膜26b、35bのCMP後(実施の形
態1においては図7(a)、実施の形態2においては図
18(b)参照)、基板表面(銅膜26b、35bおよ
び酸化シリコン膜23、33表面)をフッ化水素(H
F)溶液等の洗浄液で洗浄した後、配線26、35上に
タングステン膜26c、35cを選択成長もしくは優先
成長させる。
【0134】このように、配線26、35上にタングス
テン膜26c、35cを選択成長もしくは優先成長させ
る前に、基板表面をフッ化水素(HF)を含む溶液で洗
浄すれば、酸化シリコン膜上のメタル汚染がエッチング
されるため(図27(b))、選択性もしくは優先性が
よく、配線26、35上にタングステン膜を形成するこ
とができる(図27(c))。これに対して、銅膜26
b、35b等のCMP後、酸化シリコン膜23、33上
に汚染メタルが存在した場合、図27(a)に示すよう
に汚染メタル上にもタングステン膜が成長してしまう。
【0135】図28(a)は、フッ化水素(HF)溶液
での洗浄を行った場合(処理時間20秒:B、処理時間
60秒:C)と、フッ化水素(HF)溶液での洗浄を行
わなかった場合:Aの配線ショート歩留まりを示したグ
ラフである。
【0136】図28(a)に示すように、フッ化水素
(HF)溶液での洗浄を行わなかった場合:Aは、歩留
まりが30%程度であるのに対し、フッ化水素(HF)
溶液での洗浄を行った場合は、処理時間が20秒:B、
60秒:Cの双方とも歩留まりは100%であった。
【0137】なお、本実施の形態における前処理は、実
施の形態3および実施の形態4で説明した半導体集積回
路装置の製造に際しても適用することができる。
【0138】(実施の形態6)また、実施の形態1およ
び実施の形態2では、銅膜26b、35b等のCMP
後、配線26、35の表面にタングステン膜26c、3
5cを選択成長もしくは優先成長させたが、タングステ
ン膜26c、35c形成前に、次のような前処理を行っ
てもよい。
【0139】銅膜26b、35bのCMP後(実施の形
態1においては図7(a)、実施の形態2においては図
18(b)参照)、基板表面に対し、例えば、430
℃、10Torr(10×1.33322×102
a)の条件下で、水素処理を1分間行う。なお、この水
素処理は、タングステン膜26c、35cの成膜と同じ
装置内で行うことが可能である。
【0140】このように、配線26、35上にタングス
テン膜26c、35cを選択成長もしくは優先成長させ
る前に、基板表面を水素処理すれば、配線上の酸化物が
還元され、また、基板表面の吸着ガス、水分および有機
物が除去される。また、配線表面に水素が吸着・吸蔵さ
れることにより、その後のタングステン膜26c、35
c形成時に、タングステンが選択成長もしくは優先成長
しやすくなる。
【0141】従って、信頼性の高いタングステン膜を形
成することができ、また、タングステン膜と銅膜26
b、35bとの密着性を向上させることができる。
【0142】図28(b)は、水素処理行った場合(処
理時間60秒:B、処理時間300秒:C)と、水素処
理を行わなかった場合:Aの配線ショート歩留まりを、
また、図28(c)は、配線抵抗歩留まりを示したグラ
フである。なお、タングステン膜の成長条件は、設定温
度460℃、WF6流量7scc、水素流量500sc
c、圧力0.45Torr(0.45×1.33322
×102Pa)で、処理時間120秒である。また、実
施の形態5および後述の実施の形態7で説明する洗浄処
理は行っていない。
【0143】図28(b)に示すように、水素処理を行
わなかった場合:Aは、配線ショート歩留まりがほぼ0
%であるのに対し、水素処理を行った場合は、処理時間
が60秒:B、300秒:Cの双方とも歩留まりは10
0%となった。また、図28(c)に示すように、水素
処理を行わなかった場合:Aは、配線抵抗歩留まりが3
0%程度であるのに対し、水素処理を行った場合は、処
理時間が60秒:B、300秒:Cの双方とも歩留まり
は100%であった。
【0144】また、タングステン膜26c、35c形成
後にも同様の還元処理、例えば、360℃でのアンモニ
ア(NH3)プラズマ処理を行えば、タングステン膜2
6c、35c上を含む基板表面の吸着ガス、水分および
有機物が除去され、信頼性の高いタングステン膜を形成
することができる。また、タングステン膜26c、35
c上に形成される窒化シリコン膜等の絶縁膜との密着性
を向上させることができる。なお、この還元処理は、前
記絶縁膜の成膜と同一装置内で行うことが可能である。
【0145】なお、本実施の形態における前処理は、実
施の形態3および実施の形態4で説明した半導体集積回
路装置の製造に際しても適用することができる。
【0146】また、実施の形態1〜4で説明した半導体
集積回路装置に対し、実施の形態5で説明した洗浄処理
と本実施の形態の還元処理を併用することもできる。
【0147】(実施の形態7)また、配線表面にタング
ステン膜26c、35c形成を選択成長もしくは優先成
長させた後、次のような後処理を行ってもよい。
【0148】配線26、35表面にタングステン膜26
c、35cを選択成長もしくは優先成長させた後(実施
の形態1においては図7(b)、実施の形態2において
は図19(a)参照)、基板表面(タングステン膜26
c、35cおよび酸化シリコン膜23、33表面等)を
フッ化水素(HF)もしくは過酸化水素(H22)等を
含む溶液で洗浄する。
【0149】このように、タングステン膜26c、35
cを選択成長もしくは優先成長させた後に、基板表面を
フッ化水素(HF)溶液等で洗浄すれば、図27(a)
に示すように、酸化シリコン膜上にタングステン膜が成
長し(選択性のやぶれが生じ)、もしくは、実施の形態
5において説明したように酸化シリコン膜上の汚染メタ
ル上にタングステン膜が成長した場合であっても、これ
ら不必要なタングステン膜および汚染メタルがエッチン
グされ、信頼性の高いタングステン膜を形成することが
できる。
【0150】なお、不必要なタングステン膜の除去に際
してはCMPを用いても良い。配線上に形成されたタン
グステン膜は相対的に厚く連続膜であるのに対して、絶
縁膜上のタングステン膜は不連続でかつ薄いため、絶縁
膜上のタングステン膜のみを除去することができる。
【0151】また、本実施の形態における後処理は、実
施の形態1〜4で説明した半導体集積回路装置の製造に
際しても適用することができる。
【0152】また、実施の形態1〜4で説明した半導体
集積回路装置に対し、実施の形態5もしくは実施の形態
6で説明した前処理と本実施の形態の後処理を併用する
こともできる。
【0153】さらに、実施の形態1〜4で説明した半導
体集積回路装置に対し、実施の形態5で説明した洗浄処
理および実施の形態6で説明した還元処理と本実施の形
態の後処理を併用することもできる。
【0154】以上、発明者によってなされた本発明を、
実施の形態に基づき具体的に説明したが、本発明は前記
実施の形態に限定されるものではなく、その要旨を逸脱
しない範囲で種々変更可能であることはいうまでもな
い。
【0155】特に、前述の実施の形態においては、MI
SFETおよび抵抗素子上に形成された配線に本発明を
適用したが、本発明は、多層配線を用いた半導体集積回
路装置に広く適用可能である。
【0156】また、前述の実施の形態においては、銅配
線(銅膜26b)としたが、銅、銀、アルミニウムもし
くはこれらの金属を主成分とする合金から成る配線とし
ても良い。また、銅合金には、Mg含有量が5%以下の
合金もしくはAl含有量が3%以下の合金を含む。
【0157】また、前述の実施の形態においては、バリ
ア層26a、35a等上に銅膜26b、35b等を形成
したが、図30に示すように、(a)タングステン膜8
26a、835aを配線溝底部にのみ残存させる、
(b)バリア層35a上に更にタングステン膜835d
を形成する、もしくは、(c)(a)に示したタングス
テン膜826a、835a上にさらにバリア層26a、
35aを形成する等種々の変更、組み合わせが可能であ
る。
【0158】
【発明の効果】本願において開示される発明のうち代表
的なものによって得られる効果を簡単に説明すれば、下
記のとおりである。
【0159】本発明の半導体集積回路装置の製造方法に
よれば、配線上にキャップ導電性膜を選択成長もしくは
優先成長させたので、半導体集積回路装置の高速化を図
ることができる。
【0160】また、エレクトロマイグレーションやスト
レスマイグレーションの発生を抑え、配線寿命を長くす
ることができる。
【0161】さらに、配線上に形成されるコンタクトホ
ール底部エッチングの際に、下地である配線が直接スパ
ッタされることを防止でき、絶縁耐圧の向上や、リーク
電流の低減を図ることができる。
【0162】また、このコンタクトホールが配線に対し
てずれた場合であっても、コンタクトを維持でき、コン
タクト不良を低減することができる。
【0163】また、配線表面の酸化を防止することがで
き、配線抵抗の上昇を低減することができる。
【0164】さらに、前記キャップ導電性膜形成前に、
基板表面をフッ化水素(HF)を含む溶液で洗浄する、
もしくは、基板表面を水素処理する等の前処理を行え
ば、信頼性の高いキャップ導電性膜を形成することがで
きる。また、前記キャップ導電性膜形成後に、基板表面
をフッ化水素(HF)もしくは過酸化水素(H22)を
含む溶液で洗浄すれば、信頼性の高いキャップ導電性膜
を形成することができる。
【0165】また、本発明の半導体集積回路装置によれ
ば、配線上にキャップ導電性膜を形成したので、半導体
集積回路装置の高速化を図ることができる。
【0166】また、エレクトロマイグレーションやスト
レスマイグレーションの発生を抑え、配線寿命を長くす
ることができる。
【0167】さらに、配線上に形成されるコンタクトホ
ール底部エッチングの際に、下地である配線が直接スパ
ッタされることを防止でき、絶縁耐圧の向上や、リーク
電流の低減を図ることができる。
【0168】また、このコンタクトホールが配線に対し
てずれた場合であっても、コンタクトを維持でき、コン
タクト不良を低減することができる。
【0169】また、配線表面の酸化を防止することがで
き、配線抵抗の上昇を低減することができる。
【図面の簡単な説明】
【図1】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図2】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図3】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図4】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図5】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図6】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図7】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図8】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図9】本発明の実施の形態1である半導体集積回路装
置の製造工程を示す断面図である。
【図10】本発明の実施の形態1である半導体集積回路
装置の製造工程を示す断面図である。
【図11】本発明の実施の形態1である半導体集積回路
装置の製造工程を示す断面図である。
【図12】本発明の実施の形態1である半導体集積回路
装置の製造工程を示す断面図である。
【図13】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図14】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図15】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図16】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図17】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図18】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図19】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図20】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図21】本発明の実施の形態2である半導体集積回路
装置の製造工程を示す断面図である。
【図22】本発明の効果を説明するための図である。
【図23】本発明の効果を説明するための図である。
【図24】本発明の効果を説明するための図である。
【図25】本発明の実施の形態3である半導体集積回路
装置の製造工程を示す断面図である。
【図26】本発明の実施の形態4である半導体集積回路
装置の製造工程を示す断面図である。
【図27】本発明の実施の形態5および実施の形態7に
関する効果を説明するための図である。
【図28】本発明の実施の形態5および実施の形態6に
関する効果を説明するための図である。
【図29】本発明の効果を説明するための図である。
【図30】本発明のその他の実施の形態である半導体集
積回路装置の製造工程を示す断面図である。
【符号の説明】
1 半導体基板 2 素子分離領域 3 pウエル 4 nウエル 5 ゲート酸化膜 6 多結晶シリコン膜 7 ゲート電極 8 n型半導体領域 9 p型半導体領域 10 サイドウォール 11 n+型半導体領域 12 p+型半導体領域 13 絶縁膜 14 引き出し電極 16 シリサイド層 18 層間絶縁膜 20 コンタクトホール 21 プラグ 22 窒化シリコン膜 23 酸化シリコン膜 25 配線溝 26 配線 26a バリア層 26b 銅膜 26c タングステン膜 28 窒化シリコン膜 29 酸化シリコン膜 30 コンタクトホール 31 プラグ 31a 窒化チタン膜 31b タングステン膜 32 窒化シリコン膜 33 酸化シリコン膜 34 配線溝 35 配線 35a バリア層 35b 銅膜 35c タングステン膜 36 窒化シリコン膜 37 酸化シリコン膜 38 コンタクトホール 39 プラグ 39a 窒化チタン膜 39b タングステン膜 40 アルミニウム配線 40a チタン膜 40b アルミニウム膜 40c 窒化チタン膜 41 酸化シリコン膜 42 SOG膜 42a 酸化シリコン膜 43 配線 44 窒化シリコン膜 45 酸化シリコン膜 47 バンプ下地電極 48 バンプ電極 49、51、53、55 窒化シリコン膜 50、52、54、56 酸化シリコン膜 33a 反射防止膜もしくはレジスト膜 328、336 TEOS膜 329、337 絶縁膜 428、436 窒化シリコン膜 429、437 TEOS膜 M3 第3層配線 M3c タングステン膜 M4 第4層配線 M4c タングステン膜 P3 プラグ P4 プラグ Qn nチャネル型MISFET Qp pチャネル型MISFET R 導体膜
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/092 H01L 27/08 321F (72)発明者 今井 俊則 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 野口 純司 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 田丸 剛 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 4M104 AA01 BB01 BB04 BB18 BB20 BB25 BB28 CC01 CC05 DD04 DD16 DD17 DD19 DD22 DD37 DD43 DD47 DD52 DD53 DD75 DD80 DD84 DD86 DD89 EE06 EE08 EE12 EE15 EE17 EE18 FF17 FF18 FF22 GG09 GG10 GG14 GG19 HH01 HH02 HH11 HH15 HH16 5F033 HH04 HH07 HH08 HH11 HH12 HH13 HH14 HH18 HH19 HH21 HH25 HH27 HH28 HH32 HH33 HH34 JJ04 JJ11 JJ12 JJ18 JJ19 JJ21 JJ32 JJ33 JJ34 KK01 KK04 KK07 KK08 KK11 KK12 KK14 KK18 KK19 KK21 KK25 KK27 KK28 KK32 KK33 KK34 LL02 MM01 MM02 MM05 MM08 MM12 MM13 NN06 NN07 PP04 PP06 PP07 PP15 PP27 PP33 QQ04 QQ09 QQ16 QQ23 QQ25 QQ35 QQ37 QQ48 QQ58 QQ59 QQ73 QQ75 QQ80 QQ82 RR04 RR06 RR09 RR11 RR14 RR22 RR25 RR29 SS04 SS08 SS11 SS22 TT02 TT04 TT08 VV00 VV06 VV09 XX05 XX06 XX09 XX10 XX13 XX15 XX20 XX24 XX28 5F048 AA01 AA07 AC03 AC10 BA01 BB05 BB08 BC06 BE03 BF00 BF01 BF06 BF07 BF11 BF15 BF16 BG14 DA27

Claims (36)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板上に形成された第1の
    絶縁膜中に配線溝を形成する工程と、(b)前記配線溝
    内を含む前記第1の絶縁膜上にバリア層および導電性膜
    を順次形成後、前記配線溝外部の前記バリア層および導
    電性膜を除去することによって配線を形成する工程と、
    (c)前記配線上にキャップ導電性膜を選択成長もしく
    は優先成長させることにより、前記配線上にキャップ導
    電性膜を形成する工程と、(d)前記キャップ導電性膜
    および前記第1の絶縁膜上に第2の絶縁膜を形成する工
    程と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  2. 【請求項2】 (a)半導体基板上に形成された第1の
    絶縁膜中に配線溝を形成する工程と、(b)前記配線溝
    内にバリア層および第1の導電性膜を順次形成すること
    によって配線を形成する工程と、(c)前記配線上にキ
    ャップ導電性膜を選択成長もしくは優先成長させること
    により、前記配線上にキャップ導電性膜を形成する工程
    と、(d)前記キャップ導電性膜および前記第1の絶縁
    膜上に第2の絶縁膜を形成する工程と、(e)前記配線
    上の前記第2の絶縁膜を部分的に除去して、前記キャッ
    プ導電性膜を露出する開孔を形成する工程と、(f)前
    記開孔内に第2の導電性膜を形成する工程と、を有する
    ことを特徴とする半導体集積回路装置の製造方法。
  3. 【請求項3】 (a)半導体基板上に第1の配線を形成
    する工程と、(b)前記第1の配線上に第1の絶縁膜を
    形成する工程と、(c)前記第1の配線のコンタクト領
    域上の前記第1の絶縁膜を除去することによりコンタク
    トホールを形成する工程と、(d)前記コンタクトホー
    ル内を含む第1の絶縁膜上に第1の導電性膜を形成する
    工程と、(e)前記コンタクトホール外部の第1の導電
    性膜を除去することによりプラグを形成する工程と、
    (f)前記第1の絶縁膜および前記プラグ上に第2の絶
    縁膜を形成する工程と、(g)第2の配線形成予定領域
    の前記第2の絶縁膜を除去することにより配線溝を形成
    する工程と、(h)前記配線溝内を含む前記第2の絶縁
    膜上にバリア層および第2の導電性膜を順次形成する工
    程と、(i)前記配線溝外部の前記バリア層および第2
    の導電性膜を除去することにより第2の配線を形成する
    工程と、(j)前記第2の配線膜上にキャップ導電性膜
    を選択成長もしくは優先成長させることにより、前記第
    2の配線上にキャップ導電性膜を形成する工程と、
    (k)前記キャップ導電性膜および前記第2の絶縁膜上
    に第3の絶縁膜を形成する工程と、を有することを特徴
    とする半導体集積回路装置の製造方法。
  4. 【請求項4】 (a)半導体基板上に第1の配線を形成
    する工程と、(b)前記第1の配線上に第1の絶縁膜お
    よび第2の絶縁膜を順次形成する工程と、(c)前記第
    1の配線のコンタクト領域上の前記第1および第2の絶
    縁膜を除去することによりコンタクトホールを形成する
    工程と、(d)第2の配線形成予定領域の前記第2の絶
    縁膜を除去することにより配線溝を形成する工程と、
    (e)前記コンタクトホールおよび配線溝内を含む前記
    第2の絶縁膜上にバリア層および導電性膜を順次形成す
    る工程と、(f)前記コンタクトホールおよび配線溝外
    部の前記バリア層および導電性膜を除去することにより
    第2の配線および前記第1の配線と第2の配線との接続
    部を形成する工程と、(g)前記第2の配線上にキャッ
    プ導電性膜を選択成長もしくは優先成長させることによ
    り、前記第2の配線上にキャップ導電性膜を形成する工
    程と、(h)前記キャップ導電性膜および前記第2の絶
    縁膜上に第3の絶縁膜を形成する工程と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  5. 【請求項5】 (a)半導体基板上に第1の配線を形成
    する工程と、(b)前記第1の配線上に第1の絶縁膜お
    よび第2の絶縁膜を順次形成する工程と、(c)第2の
    配線形成予定領域の前記第2の絶縁膜を除去することに
    より配線溝を形成する工程と、(d)前記第1の配線の
    コンタクト領域上の第1の絶縁膜を除去することにより
    コンタクトホールを形成する工程と、(e)前記コンタ
    クトホールおよび配線溝内を含む前記第2の絶縁膜上に
    バリア層および導電性膜を順次形成する工程と、(f)
    前記コンタクトホールおよび配線溝外部の前記バリア層
    および導電性膜を除去することにより第2の配線および
    前記第1の配線と第2の配線との接続部を形成する工程
    と、(g)前記第2の配線膜上にキャップ導電性膜を選
    択成長もしくは優先成長させることにより、前記第2の
    配線上にキャップ導電性膜を形成する工程と、(h)前
    記キャップ導電性膜および前記第2の絶縁膜上に第3の
    絶縁膜を形成する工程と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  6. 【請求項6】 請求項1、4もしくは5記載の半導体集
    積回路装置の製造方法であって、 前記半導体集積回路装置の製造方法は、さらに、 前記請求項1記載の第2の絶縁膜、請求項4記載の第3
    の絶縁膜もしくは請求項5記載の第3の絶縁膜を部分的
    に除去して、前記キャップ導電性膜を露出する開孔を形
    成する工程と、 前記開孔内に導電材料を埋め込むことによりプラグを形
    成する工程と、 前記請求項1記載の第2の絶縁膜、請求項4記載の第3
    の絶縁膜もしくは請求項5記載の第3の絶縁膜上に前記
    プラグ上まで延在する上層配線を形成する工程と、 を有することを特徴とする半導体集積回路装置の製造方
    法。
  7. 【請求項7】 請求項1乃至5記載の半導体集積回路装
    置の製造方法であって、前記請求項1記載の配線もしく
    は請求項2乃至5記載の第2の配線は、銅、銀、アルミ
    ニウムもしくはこれらの金属を主成分とする合金から成
    る配線であることを特徴とする半導体集積回路装置の製
    造方法。
  8. 【請求項8】 請求項1乃至5記載の半導体集積回路装
    置の製造方法であって、前記キャップ導電性膜は、Wよ
    り成る膜であることを特徴とする半導体集積回路装置の
    製造方法。
  9. 【請求項9】 請求項1乃至5記載の半導体集積回路装
    置の製造方法であって、前記キャップ導電性膜はWN、
    TiN、Ta,TaNもしくはNiから成る膜であるこ
    とを特徴とする半導体集積回路装置の製造方法。
  10. 【請求項10】 請求項1乃至5記載の半導体集積回路
    装置の製造方法であって、前記キャップ導電性膜は、1
    Torr(1×1.33322×102Pa)以下の圧
    力下で形成されることを特徴とする半導体集積回路装置
    の製造方法。
  11. 【請求項11】 請求項1乃至5記載の半導体集積回路
    装置の製造方法であって、前記請求項1もしくは2記載
    の第2の絶縁膜もしくは請求項3乃至5記載の第3の絶
    縁膜の形成工程は、(a)前記キャップ導電性膜上にT
    EOS膜もしくは炭素を含むシリコン系絶縁膜を形成す
    る工程と、(b)前記TEOS膜もしくは炭素を含むシ
    リコン系絶縁膜上に前記TEOS膜もしくは炭素を含む
    シリコン系絶縁膜より誘電率の低い膜を形成する工程
    と、を有することを特徴とする半導体集積回路装置の製
    造方法。
  12. 【請求項12】 請求項1乃至5記載の半導体集積回路
    装置の製造方法であって、前記請求項1もしくは2記載
    の第2の絶縁膜もしくは請求項3乃至5記載の第3の絶
    縁膜の形成工程は、(a)前記キャップ導電性膜上に、
    前記キャップ導電性膜を構成する導電体材料の拡散を防
    止する拡散防止絶縁膜を形成する工程と、(b)前記拡
    散防止絶縁膜上に前記拡散防止絶縁膜よりも誘電率の低
    い低誘電絶縁膜を形成する工程と、を有することを特徴
    とする半導体集積回路装置の製造方法。
  13. 【請求項13】 請求項12記載の半導体集積回路装置
    の製造方法であって、前記拡散防止絶縁膜は、窒化シリ
    コン膜、PSG膜もしくは炭化シリコン膜であることを
    特徴とする半導体集積回路装置の製造方法。
  14. 【請求項14】 請求項12記載の半導体集積回路装置
    の製造方法であって、前記低誘電絶縁膜は、TEOS膜
    もしくはSiOF膜であることを特徴とする半導体集積
    回路装置の製造方法。
  15. 【請求項15】 請求項1乃至5記載の半導体集積回路
    装置の製造方法であって、前記キャップ導電性膜は、基
    板表面をフッ化水素(HF)を含む溶液で洗浄した後形
    成されることを特徴とする半導体集積回路装置の製造方
    法。
  16. 【請求項16】 請求項1乃至5記載の半導体集積回路
    装置の製造方法であって、前記キャップ導電性膜は、基
    板表面を水素処理した後形成されることを特徴とする半
    導体集積回路装置の製造方法。
  17. 【請求項17】 請求項1乃至5記載の半導体集積回路
    装置の製造方法であって、前記キャップ導電性膜形成工
    程は、前記選択成長もしくは優先成長後に、基板表面を
    フッ化水素(HF)もしくは過酸化水素(H22)を含
    む溶液で洗浄する工程を含むことを特徴とする半導体集
    積回路装置の製造方法。
  18. 【請求項18】 半導体基板上に形成された第1の絶縁
    膜と、前記絶縁膜中に形成された配線溝と、前記配線溝
    側壁および底部に形成されたバリア層と、前記配線溝内
    部であって、前記バリア層上に形成された導電性膜と、
    前記導電性膜上に形成されたキャップ導電性膜と、前記
    キャップ導電性膜および前記第1の絶縁膜上に形成され
    た第2の絶縁膜と、を有することを特徴とする半導体集
    積回路装置。
  19. 【請求項19】 半導体基板上に形成された配線と、前
    記配線上に形成された第1の絶縁膜と、前記第1の配線
    のコンタクト領域上であって、前記第1の絶縁膜中に形
    成されたコンタクトホールと、前記コンタクトホール内
    部に形成されたプラグと、前記第1の絶縁膜およびプラ
    グ上に形成された第2の絶縁膜と、前記第2の絶縁膜中
    に形成された配線溝と、前記配線溝側壁および底部に形
    成されたバリア層と、前記配線溝内部であって、前記バ
    リア層上に形成された導電性膜と、前記導電性膜上に形
    成されたキャップ導電性膜と、前記キャップ導電性膜上
    および前記第2の絶縁膜上に形成された第3の絶縁膜
    と、 を有することを特徴とする半導体集積回路装置。
  20. 【請求項20】 半導体基板上に形成された配線と、前
    記配線上に形成された第1の絶縁膜と、前記第1の絶縁
    膜中に形成された配線溝および前記配線溝底部から前記
    配線まで延在する第1のコンタクトホールと、前記配線
    溝および第1のコンタクトホールの側壁および底部に形
    成されたバリア層と、前記配線溝および第1のコンタク
    トホール内部であって前記バリア層上に形成された第1
    の導電性膜と、前記第1の導電性膜上に形成されたキャ
    ップ導電性膜と、前記キャップ導電性膜上および前記第
    1の絶縁膜上に形成された第2の絶縁膜と、前記第2の
    絶縁膜に形成された第2のコンタクトホールの内部に形
    成された第2の導電性膜と、 を有することを特徴とする半導体集積回路装置。
  21. 【請求項21】 請求項20記載の半導体集積回路装置
    であって、前記第1の絶縁膜は、前記配線溝が形成され
    た溝用絶縁膜と、前記第1のコンタクトホールが形成さ
    れたコンタクトホール用絶縁膜とから成ることを特徴と
    する半導体集積回路装置。
  22. 【請求項22】 請求項18もしくは19記載の半導体
    集積回路装置であって、前記半導体集積回路装置はさら
    に、 前記請求項17記載の第2の絶縁膜もしくは18記載の
    第3の絶縁膜に形成された開孔部であって、前記キャッ
    プ導電性膜上に形成された開口部の内部に形成された導
    電材料を有することを特徴とする半導体集積回路装置。
  23. 【請求項23】 請求項18乃至20記載の半導体集積
    回路装置であって、前記請求項18もしくは19記載の
    導電性膜もしくは請求項20記載の第1の導電性膜は、
    銅、銀、アルミニウムもしくはこれらの金属を主成分と
    する合金から成ることを特徴とする半導体集積回路装
    置。
  24. 【請求項24】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜はWより成る
    膜であることを特徴とする半導体集積回路装置。
  25. 【請求項25】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜はWN、Ti
    N、Ta,TaNもしくはNiから成る膜であることを
    特徴とする半導体集積回路装置。
  26. 【請求項26】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、選択成長
    もしくは優先成長により形成された膜であることを特徴
    とする半導体集積回路装置。
  27. 【請求項27】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、1Tor
    r(1×1.33322×102Pa)以下の圧力下で
    形成された膜であることを特徴とする半導体集積回路装
    置。
  28. 【請求項28】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、その膜厚
    が均一であることを特徴とする半導体集積回路装置。
  29. 【請求項29】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、そのばら
    つきが50%以下の均一性を有する膜であることを特徴
    とする半導体集積回路装置。
  30. 【請求項30】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、その膜厚
    が、配線幅によらず均一であることを特徴とする半導体
    集積回路装置。
  31. 【請求項31】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、前記配線
    溝底部のバリア層より薄いことを特徴とする半導体集積
    回路装置。
  32. 【請求項32】 請求項18乃至20記載の半導体集積
    回路装置であって、前記キャップ導電性膜は、2〜20
    nmの膜厚であることをを特徴とする半導体集積回路装
    置。
  33. 【請求項33】 請求項18乃至20記載の半導体集積
    回路装置であって、前記請求項18もしくは20記載の
    第2の絶縁膜もしくは請求項19記載の第3の絶縁膜
    は、前記キャップ導電性膜上に形成されたTEOS膜も
    しくは炭化シリコン膜と、前記TEOS膜もしくは炭化
    シリコン膜上に形成された前記TEOS膜もしくは炭化
    シリコン膜より誘電率の低い膜と、を有することを特徴
    とする半導体集積回路装置。
  34. 【請求項34】 請求項18乃至20記載の半導体集積
    回路装置であって、前記請求項18もしくは20記載の
    第2の絶縁膜もしくは請求項19記載の第3の絶縁膜
    は、前記キャップ導電性膜上に形成された前記請求項1
    8もしくは19記載の導電性膜もしくは請求項20記載
    の第1の導電性膜を構成する導電体材料の拡散を防止す
    る拡散防止絶縁膜と、前記拡散防止絶縁膜上に形成され
    た前記拡散防止絶縁膜より誘電率の低い低誘電絶縁膜
    と、を有することを特徴とする半導体集積回路装置。
  35. 【請求項35】 請求項34記載の半導体集積回路装置
    であって、前記低誘電絶縁は、TEOS膜もしくはSi
    OF膜であることを特徴とする半導体集積回路装置。
  36. 【請求項36】 請求項34記載の半導体集積回路装置
    であって、前記拡散防止絶縁膜は、窒化シリコン膜、P
    SG膜もしくは炭化シリコン膜であることを特徴とする
    半導体集積回路装置。
JP2000135041A 2000-05-08 2000-05-08 半導体集積回路装置およびその製造方法 Pending JP2001319928A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2000135041A JP2001319928A (ja) 2000-05-08 2000-05-08 半導体集積回路装置およびその製造方法
TW090105990A TW483105B (en) 2000-05-08 2001-03-14 A semiconductor integrated circuit device and a method of manufacturing the same
KR1020010017834A KR100779295B1 (ko) 2000-05-08 2001-04-04 반도체 집적회로장치 및 그 제조방법
US09/850,162 US6818546B2 (en) 2000-05-08 2001-05-08 Semiconductor integrated circuit device and a method of manufacturing the same
US10/970,024 US7321171B2 (en) 2000-05-08 2004-10-22 Semiconductor integrated circuit device
KR1020070077476A KR100832177B1 (ko) 2000-05-08 2007-08-01 반도체 집적회로장치 및 그 제조방법
US11/872,295 US7642652B2 (en) 2000-05-08 2007-10-15 Semiconductor integrated circuit device and a method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000135041A JP2001319928A (ja) 2000-05-08 2000-05-08 半導体集積回路装置およびその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006037551A Division JP2006179950A (ja) 2006-02-15 2006-02-15 半導体集積回路装置の製造方法

Publications (2)

Publication Number Publication Date
JP2001319928A true JP2001319928A (ja) 2001-11-16
JP2001319928A5 JP2001319928A5 (ja) 2006-03-30

Family

ID=18643204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000135041A Pending JP2001319928A (ja) 2000-05-08 2000-05-08 半導体集積回路装置およびその製造方法

Country Status (4)

Country Link
US (3) US6818546B2 (ja)
JP (1) JP2001319928A (ja)
KR (2) KR100779295B1 (ja)
TW (1) TW483105B (ja)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003031652A (ja) * 2001-07-18 2003-01-31 Sony Corp 半導体装置およびその製造方法
JP2004031918A (ja) * 2002-04-12 2004-01-29 Hitachi Ltd 半導体装置
JP2004193431A (ja) * 2002-12-12 2004-07-08 Renesas Technology Corp 半導体装置およびその製造方法
JP2005033164A (ja) * 2003-07-09 2005-02-03 Hynix Semiconductor Inc 半導体素子の銅配線形成方法
JP2005085983A (ja) * 2003-09-09 2005-03-31 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
WO2005038904A1 (ja) * 2003-10-20 2005-04-28 Renesas Technology Corp. 半導体装置
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法
JP2007142421A (ja) * 2005-11-15 2007-06-07 Dongbu Electronics Co Ltd 半導体素子及びこの製造方法
JP2007189243A (ja) * 2007-03-12 2007-07-26 Fujitsu Ltd 半導体装置
JP2007201490A (ja) * 2007-03-12 2007-08-09 Fujitsu Ltd 半導体装置
CN100345277C (zh) * 2003-09-19 2007-10-24 国际商业机器公司 在互连结构中低电阻通道接触的形成
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
WO2008081824A1 (ja) * 2006-12-28 2008-07-10 Tokyo Electron Limited 半導体装置およびその製造方法
JP2008300674A (ja) * 2007-05-31 2008-12-11 Rohm Co Ltd 半導体装置
JP2008300675A (ja) * 2007-05-31 2008-12-11 Rohm Co Ltd 半導体装置
JP2009124165A (ja) * 2009-01-19 2009-06-04 Fujitsu Microelectronics Ltd 半導体装置
JP2009124164A (ja) * 2009-01-19 2009-06-04 Fujitsu Microelectronics Ltd 半導体装置
US7772700B2 (en) 2002-04-12 2010-08-10 Renesas Technology Corp. Semiconductor device
JP2011040748A (ja) * 2009-08-11 2011-02-24 Internatl Business Mach Corp <Ibm> ヘテロ接合バイポーラ・トランジスタ及びその製造方法
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8188600B2 (en) 2004-06-24 2012-05-29 Nec Corporation Semiconductor device and method of fabricating the same
JP2015090902A (ja) * 2013-11-05 2015-05-11 富士通セミコンダクター株式会社 半導体装置及びその製造方法

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US7381642B2 (en) 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
JP3329380B2 (ja) * 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US7170115B2 (en) * 2000-10-17 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method of producing the same
US7271489B2 (en) * 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
JP4257051B2 (ja) * 2001-08-10 2009-04-22 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3504250B2 (ja) * 2002-03-07 2004-03-08 沖電気工業株式会社 半導体素子の製造方法
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
US20060183317A1 (en) * 2003-03-14 2006-08-17 Junji Noguchi Semiconductor device and a method of manufacturing the same
JP2004319834A (ja) * 2003-04-17 2004-11-11 Renesas Technology Corp 半導体装置およびその製造方法
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
JP2004356453A (ja) * 2003-05-30 2004-12-16 Trecenti Technologies Inc 半導体装置およびその製造方法
US6958540B2 (en) 2003-06-23 2005-10-25 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US7026233B2 (en) * 2003-08-06 2006-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing defects in post passivation interconnect process
US7915085B2 (en) * 2003-09-18 2011-03-29 Cree, Inc. Molded chip fabrication method
KR100590203B1 (ko) * 2003-10-22 2006-06-15 삼성전자주식회사 반도체 장치의 금속 패턴 형성 방법
KR100705937B1 (ko) * 2003-12-19 2007-04-11 에스티마이크로일렉트로닉스 엔.브이. 실리콘 질화막의 스트레스를 방지 및 완충하는 패드구조를 구비한 반도체 장치
KR100577528B1 (ko) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 반도체 소자의 인덕터 제조 방법
JP3810411B2 (ja) * 2004-01-23 2006-08-16 Necエレクトロニクス株式会社 集積回路装置
JP4408713B2 (ja) * 2004-02-03 2010-02-03 Necエレクトロニクス株式会社 半導体装置の製造方法
DE102004014676B4 (de) * 2004-03-25 2009-05-14 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung mit Hilfsvertiefung, insbesondere mit Ausrichtmarken, und integrierte Schaltungsanordnung
JP2005347622A (ja) * 2004-06-04 2005-12-15 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US8552559B2 (en) * 2004-07-29 2013-10-08 Megica Corporation Very thick metal interconnection scheme in IC chips
US7176141B2 (en) * 2004-09-07 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics
JP4535845B2 (ja) * 2004-10-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置
KR100641980B1 (ko) * 2004-12-17 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 배선 및 그 형성방법
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
US7341958B2 (en) * 2005-01-21 2008-03-11 Intersil Americas Inc. Integrated process for thin film resistors with silicides
US7399702B2 (en) * 2005-02-01 2008-07-15 Infineon Technologies Ag Methods of forming silicide
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
JP4941921B2 (ja) * 2005-03-14 2012-05-30 株式会社アルバック 選択W−CVD法及びCu多層配線の製作法
US7151052B2 (en) * 2005-04-28 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple etch-stop layer deposition scheme and materials
US7122898B1 (en) * 2005-05-09 2006-10-17 International Business Machines Corporation Electrical programmable metal resistor
US20060267198A1 (en) * 2005-05-25 2006-11-30 Mou-Shiung Lin High performance integrated circuit device and method of making the same
JP4548280B2 (ja) * 2005-08-31 2010-09-22 ソニー株式会社 半導体装置の製造方法
JP4959267B2 (ja) 2006-03-07 2012-06-20 ルネサスエレクトロニクス株式会社 半導体装置および電気ヒューズの抵抗値の増加方法
US7585782B2 (en) * 2006-04-11 2009-09-08 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of selectively removing metal-containing materials relative to oxide
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
KR100881621B1 (ko) * 2007-01-12 2009-02-04 삼성전자주식회사 반도체 장치 및 그 형성방법
JP5135827B2 (ja) * 2007-02-27 2013-02-06 株式会社日立製作所 半導体装置及びその製造方法
US8193636B2 (en) 2007-03-13 2012-06-05 Megica Corporation Chip assembly with interconnection by metal bump
JP5220361B2 (ja) * 2007-07-31 2013-06-26 ルネサスエレクトロニクス株式会社 半導体ウエハおよび半導体装置の製造方法
US20090072400A1 (en) * 2007-09-18 2009-03-19 International Business Machines Corporation Contact forming in two portions and contact so formed
US8822346B1 (en) * 2008-06-10 2014-09-02 Intermolecular, Inc. Method and apparatus for self-aligned layer removal
DE102008044984A1 (de) * 2008-08-29 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Verspannungsrelaxationsspalte zur Verbesserung der Chipgehäusewechselwirkungsstabilität
JP4901898B2 (ja) * 2009-03-30 2012-03-21 株式会社東芝 半導体装置の製造方法
US20110081503A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
SG183510A1 (en) * 2010-03-05 2012-09-27 Lam Res Corp Cleaning solution for sidewall polymer of damascene processes
JP5622433B2 (ja) * 2010-04-28 2014-11-12 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8642460B2 (en) * 2011-06-08 2014-02-04 International Business Machines Corporation Semiconductor switching device and method of making the same
US9029260B2 (en) * 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
JP5957840B2 (ja) * 2011-10-04 2016-07-27 ソニー株式会社 半導体装置の製造方法
KR101923120B1 (ko) * 2012-03-21 2018-11-28 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9034664B2 (en) 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
US8754508B2 (en) * 2012-08-29 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure to increase resistance to electromigration
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US9209072B2 (en) * 2013-10-25 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Global dielectric and barrier layer
CN114093812A (zh) 2013-12-17 2022-02-25 德克萨斯仪器股份有限公司 使用光刻-冷冻-光刻-蚀刻工艺的细长接触件
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
US9418951B2 (en) 2014-05-15 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with composite barrier layer under redistribution layer and manufacturing method thereof
US9633941B2 (en) 2015-08-21 2017-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10665679B2 (en) * 2016-02-08 2020-05-26 Mitsubishi Electric Corporation Silicon carbide semiconductor device and method for manufacturing same
US10636673B2 (en) * 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10636701B2 (en) 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices using multiple planarization processes
CN110571187B (zh) * 2018-06-05 2022-03-18 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN112582276A (zh) 2019-09-28 2021-03-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11581276B2 (en) 2019-09-28 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layers and methods of fabricating the same in semiconductor devices
US11424187B2 (en) * 2020-08-04 2022-08-23 Nanya Technology Corporation Semiconductor device with porous insulating layers and method for fabricating the same
KR20230033128A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 반도체 소자

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
JPH0467655A (ja) 1990-07-09 1992-03-03 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
US5627345A (en) 1991-10-24 1997-05-06 Kawasaki Steel Corporation Multilevel interconnect structure
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP3156886B2 (ja) 1993-01-26 2001-04-16 日本電信電話株式会社 半導体装置の製造方法
JPH07263589A (ja) 1994-02-18 1995-10-13 Kawasaki Steel Corp 多層配線構造およびその製造方法
US5478436A (en) * 1994-12-27 1995-12-26 Motorola, Inc. Selective cleaning process for fabricating a semiconductor device
JPH08222568A (ja) 1995-02-10 1996-08-30 Ulvac Japan Ltd 銅配線製造方法、半導体装置、及び銅配線製造装置
JPH0964034A (ja) 1995-08-18 1997-03-07 Toshiba Corp 半導体装置およびその製造方法
JPH09172070A (ja) 1995-12-18 1997-06-30 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US5733176A (en) * 1996-05-24 1998-03-31 Micron Technology, Inc. Polishing pad and method of use
US5892281A (en) * 1996-06-10 1999-04-06 Micron Technology, Inc. Tantalum-aluminum-nitrogen material for semiconductor devices
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
JPH10135153A (ja) 1996-10-29 1998-05-22 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP3409831B2 (ja) 1997-02-14 2003-05-26 日本電信電話株式会社 半導体装置の配線構造の製造方法
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
JPH1116906A (ja) * 1997-06-27 1999-01-22 Sony Corp 半導体装置及びその製造方法
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
US6870263B1 (en) 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
US6452276B1 (en) * 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
JPH11312680A (ja) * 1998-04-30 1999-11-09 Nec Corp 配線の形成方法
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6261950B1 (en) 1999-10-18 2001-07-17 Infineon Technologies Ag Self-aligned metal caps for interlevel metal connections
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6258659B1 (en) * 2000-11-29 2001-07-10 International Business Machines Corporation Embedded vertical DRAM cells and dual workfunction logic gates

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003031652A (ja) * 2001-07-18 2003-01-31 Sony Corp 半導体装置およびその製造方法
JP4538995B2 (ja) * 2001-07-18 2010-09-08 ソニー株式会社 半導体装置およびその製造方法
US7772700B2 (en) 2002-04-12 2010-08-10 Renesas Technology Corp. Semiconductor device
JP2004031918A (ja) * 2002-04-12 2004-01-29 Hitachi Ltd 半導体装置
US7986041B2 (en) 2002-04-12 2011-07-26 Renesas Electronics Corporation Semiconductor device
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2004193431A (ja) * 2002-12-12 2004-07-08 Renesas Technology Corp 半導体装置およびその製造方法
US8431480B2 (en) 2003-03-25 2013-04-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8053893B2 (en) 2003-03-25 2011-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9490213B2 (en) 2003-03-25 2016-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8810034B2 (en) 2003-03-25 2014-08-19 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7777343B2 (en) 2003-03-25 2010-08-17 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US8617981B2 (en) 2003-03-25 2013-12-31 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9064870B2 (en) 2003-03-25 2015-06-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10304726B2 (en) 2003-03-25 2019-05-28 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9659867B2 (en) 2003-03-25 2017-05-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10121693B2 (en) 2003-03-25 2018-11-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9818639B2 (en) 2003-03-25 2017-11-14 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2005033164A (ja) * 2003-07-09 2005-02-03 Hynix Semiconductor Inc 半導体素子の銅配線形成方法
JP4638140B2 (ja) * 2003-07-09 2011-02-23 マグナチップセミコンダクター有限会社 半導体素子の銅配線形成方法
JP4499390B2 (ja) * 2003-09-09 2010-07-07 パナソニック株式会社 半導体装置及びその製造方法
JP2005085983A (ja) * 2003-09-09 2005-03-31 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
CN100345277C (zh) * 2003-09-19 2007-10-24 国际商业机器公司 在互连结构中低电阻通道接触的形成
JP2007042662A (ja) * 2003-10-20 2007-02-15 Renesas Technology Corp 半導体装置
WO2005038904A1 (ja) * 2003-10-20 2005-04-28 Renesas Technology Corp. 半導体装置
US8188600B2 (en) 2004-06-24 2012-05-29 Nec Corporation Semiconductor device and method of fabricating the same
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法
JP2007142421A (ja) * 2005-11-15 2007-06-07 Dongbu Electronics Co Ltd 半導体素子及びこの製造方法
US8017519B2 (en) 2006-12-28 2011-09-13 Tokyo Electron Limited Semiconductor device and manufacturing method thereof
JP2008182174A (ja) * 2006-12-28 2008-08-07 Tokyo Electron Ltd 半導体装置およびその製造方法
WO2008081824A1 (ja) * 2006-12-28 2008-07-10 Tokyo Electron Limited 半導体装置およびその製造方法
JP2007201490A (ja) * 2007-03-12 2007-08-09 Fujitsu Ltd 半導体装置
JP2007189243A (ja) * 2007-03-12 2007-07-26 Fujitsu Ltd 半導体装置
JP2008300675A (ja) * 2007-05-31 2008-12-11 Rohm Co Ltd 半導体装置
JP2008300674A (ja) * 2007-05-31 2008-12-11 Rohm Co Ltd 半導体装置
JP2009124164A (ja) * 2009-01-19 2009-06-04 Fujitsu Microelectronics Ltd 半導体装置
JP2009124165A (ja) * 2009-01-19 2009-06-04 Fujitsu Microelectronics Ltd 半導体装置
JP2011040748A (ja) * 2009-08-11 2011-02-24 Internatl Business Mach Corp <Ibm> ヘテロ接合バイポーラ・トランジスタ及びその製造方法
JP2015090902A (ja) * 2013-11-05 2015-05-11 富士通セミコンダクター株式会社 半導体装置及びその製造方法

Also Published As

Publication number Publication date
US20010045651A1 (en) 2001-11-29
US7321171B2 (en) 2008-01-22
US6818546B2 (en) 2004-11-16
TW483105B (en) 2002-04-11
US7642652B2 (en) 2010-01-05
US20080042282A1 (en) 2008-02-21
KR100832177B1 (ko) 2008-05-23
KR20010105158A (ko) 2001-11-28
KR20070083230A (ko) 2007-08-23
KR100779295B1 (ko) 2007-11-23
US20050095844A1 (en) 2005-05-05

Similar Documents

Publication Publication Date Title
JP2001319928A (ja) 半導体集積回路装置およびその製造方法
US6706626B2 (en) Method of fabricating contact plug
KR100755670B1 (ko) 반도체 소자의 제조 방법
JPH02288335A (ja) タングステンコンタクトの製造方法および半導体デバイス
US20130228929A1 (en) Protection Layers for Conductive Pads and Methods of Formation Thereof
US6404058B1 (en) Semiconductor device having interconnection implemented by refractory metal nitride layer and refractory metal silicide layer and process of fabrication thereof
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
JP2007042662A (ja) 半導体装置
JP2003100746A (ja) 半導体装置の製造方法
US6465345B1 (en) Prevention of inter-channel current leakage in semiconductors
US6251771B1 (en) Hydrogen passivation of chemical-mechanically polished copper-containing layers
KR100783868B1 (ko) 반도체장치의 제조방법 및 반도체장치
JP2006179950A (ja) 半導体集積回路装置の製造方法
KR100606544B1 (ko) 반도체 소자의 구리 배선 방법
US11658067B2 (en) Semiconductor structure and formation method thereof
KR100720402B1 (ko) 듀얼 다마센 공정을 이용한 금속 배선 형성 방법
KR100641910B1 (ko) 반도체 소자의 금속배선 형성방법
JP2002009146A (ja) 半導体集積回路装置の製造方法および半導体集積回路装置
JP2000260869A (ja) 半導体装置及びその製造方法
US8008708B2 (en) Metal line of semiconductor device having a diffusion barrier and method for forming the same
JP2001044201A (ja) 半導体集積回路装置の製造方法
US7601633B2 (en) Semiconductor device and method for fabricating the same
US7981781B2 (en) Metal line of semiconductor device having a diffusion barrier and method for forming the same
KR101044007B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
JP2001156169A (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071218

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080415