JP3907151B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP3907151B2
JP3907151B2 JP2000015653A JP2000015653A JP3907151B2 JP 3907151 B2 JP3907151 B2 JP 3907151B2 JP 2000015653 A JP2000015653 A JP 2000015653A JP 2000015653 A JP2000015653 A JP 2000015653A JP 3907151 B2 JP3907151 B2 JP 3907151B2
Authority
JP
Japan
Prior art keywords
copper
film
wiring
oxide film
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000015653A
Other languages
English (en)
Other versions
JP2001210630A (ja
Inventor
宜弘 魚住
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2000015653A priority Critical patent/JP3907151B2/ja
Priority to US09/494,025 priority patent/US6261953B1/en
Priority to TW089124469A priority patent/TW543123B/zh
Priority to KR10-2001-0003831A priority patent/KR100426554B1/ko
Priority to US09/865,569 priority patent/US6475909B2/en
Publication of JP2001210630A publication Critical patent/JP2001210630A/ja
Priority to US10/233,582 priority patent/US6818556B2/en
Priority to TW092102880A priority patent/TWI225277B/zh
Priority to TW092102879A priority patent/TW589687B/zh
Priority to US10/976,758 priority patent/US7183203B2/en
Application granted granted Critical
Publication of JP3907151B2 publication Critical patent/JP3907151B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C22/00Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C22/05Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions
    • C23C22/60Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions using alkaline aqueous solutions with pH greater than 8
    • C23C22/63Treatment of copper or alloys based thereon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路等の半導体装置及び半導体装置における配線に用いる銅膜の加工及びこの配線構造の製造方法に関するものである。
【0002】
【従来の技術】
現在、半導体集積回路などの半導体装置では銅もしくは銅を主成分とする材料を配線に用いる場合がある。このような場合、銅の原子が絶縁膜に拡散して半導体基板に至り、トランジスタの誤動作を生じさせないために、窒化チタンや窒化タンタル、窒化タングステンなどをバリアメタル層として銅膜を包んで絶縁膜から隔離するようにすることが多い。
図13(a)に示すように、半導体基板に形成された配線は、通常、絶縁膜の配線溝に埋め込まれている。図13は、半導体基板の断面図である。集積回路などの半導体素子が形成されたシリコンなどの半導体基板100にシリコン酸化膜などからなる層間絶縁膜101が形成されており、この表面に配線溝が形成されている。この配線溝の側壁には、TiNやTaNなどのバリアメタル層102が形成され、銅膜103もしくは銅を主成分とする合金膜がその中に埋め込まれている。
この様に、従来の配線は、配線部分の銅がバリアメタルの無い上部から層間絶縁膜に拡散し、半導体基板100に形成された半導体素子に悪影響を及ぼす可能性があった。また、層間絶縁膜101とこれに埋め込まれた銅膜103の表面は、CMP方法などにより平坦化されているためリソグラフィの際にパターンエッジが認識できずパターンずれが生じてしまうという問題も存在している。
【0003】
これらの問題に対して、配線部分、即ち銅膜103をエッチングにより層間絶縁膜101の表面より後退させる方法を取ることができる(図13(b))。このような形状にすることによりパターンを正確に合わせることが可能となる。
また、図13(c)に示すように、その上部にバリアメタル層104もしくはその他のキャップ層を埋め込んで蓋をするような構造を形成する場合がある。このような構造にすると、上部からの銅の拡散が抑えられるという作用効果が生じる。さらに、キャップ層を導電性の材料を用いることにより上層の配線とのビア配線(コンタクト配線)を形成する際に銅が直接エッチング雰囲気に晒されることがなくなるので、コロージョンが発生したり、エッチングされて断線する危険性が低減できる。
【0004】
【発明が解決しようとする課題】
前述したこれら従来の配線構造を形成する方法として、ウェットエッチングを用いる方法とドライエッチングを用いる方法がある。ドライエッチングにはRIE(Reactive Ion Etching)と呼ばれる異方性エッチングとCDE(Chemical Dry Etching)と呼ばれる等方性エッチングがあり、これらを用いた方法でも銅のエッチングが可能である。しかし、これらドライエッチングは原料ガスとしてCF系のガスを用いることが多く、地球環境に対して非常に悪影響を与えるという問題がある。また、エッチング後に副生産物が堆積するため、それを除去するためのウェット処理を行う場合が多く、ウェット処理に比べて工程数的にもコスト的にも問題が多い。
そこで、注目されるのがウェットエッチングである。銅は、塩酸やフッ酸、希硫酸、酢酸、シアン化水素酸などの酸化力の弱い酸には殆ど溶解しないが、酸化力のある酸性の薬液によりエッチングされる。具体的には、熱濃硫酸、硝酸、亜硝酸、リン酸などである。また、例えば、塩酸+過酸化水素水、塩酸+オゾン水、フッ酸+過酸化水素水のように過酸化水素やオゾンもしくは酸素などを混合することにより酸化力を持たせた酸にも溶解する。さらに、銅と可溶性の錯体を作る物質、例えば、アンモニア、アミノ基をもつ物質(エチレンジアミンなど)、シアン化物(KCNなど)などでもエッチングされ、これらに過酸化水素水などを混合して酸化力を持たせるとエッチングが加速されることが多い。
【0005】
通常、アンモニア水と過酸化水素水、塩酸と過酸化水素水の混合液は、洗浄用の薬液として用いられ、それぞれSC1、SC2と呼ばれている。市販されているアンモニア水や塩酸、過酸化水素水の濃度は、20〜35%程度であることが多く、ほとんどの場合SC1、SC2は、これらと純水とを体積比1:1:5〜1:1:7程度で混合して用いている。このような条件で混合した液に銅を浸すとエッチングされる。
しかし、前述の条件のSC1やSC2で銅のエッチングを行うと、金属光沢を有していた表面が白濁化して光沢がなくなってしまう。また、硝酸や熱濃硫酸など先に述べた様々な薬液を用いて銅をエッチングしても表面は、白濁する。これは、液温を上げればさらに顕著になる。表面が白濁するのは、エッチングにより銅表面が荒れてしまったためで、配線で使用した場合には表面の荒れが大きくなると、膜厚のバラツキや表面散乱の影響で実質的な抵抗が上昇したり、上層の配線とのコンタクト不良が発生したりする可能性があり問題となる。そこで、なるべく表面が荒れないような条件でエッチングを行う必要がある。
【0006】
また、銅のエッチングの方法として、銅膜を酸化させその酸化物を酸などで除去する方法が提案されている。例えば、特開平2−306631号公報には、銅膜に酸素をイオン注入した後にアニールを行う、もしくは酸素プラズマ処理を行うといった方法で酸化物を作り、希硫酸もしくは酢酸でエッチングする方法が提案されている。また、特開平10−233397号公報には、拡散炉やRTA炉もしくはオーブン内で室温以上の酸素(O2 )もしくはオゾン(O3 )雰囲気に銅膜を曝すことにより銅酸化膜を形成し、希塩酸や希硫酸でウェットエッチングしたり、ドライエッチングやCMPにより除去する方法が提案されている。ところが、これらの方法でもエッチング後の銅の表面は荒れてしまうことが多い。特に酸化膜厚を厚くしようとして温度を高温にするほどその傾向が強い。
本発明は、このような事情によりなされたものであり、銅膜を酸化させその酸化物を酸もしくはアルカリなどで除去することにより銅膜の表面をエッチングする方法において、エッチング処理を行った後の銅膜表面が荒れてしまうことが少なく、少ない工程で短時間に精度良く行うことができる銅酸化膜の形成方法、銅膜のエッチング方法、半導体装置の製造方法及び半導体装置を提供する。
【0007】
【課題を解決するための手段】
本発明は、銅配線の形成において、pH=8〜10に調整したアンモニア水と過酸化水素水の混合液(SC1)に銅を曝すことにより表面にアンモニア錯体を含む銅酸化膜を形成し、その後、希塩酸などの酸化力の弱い酸か、もしくは希アンモニア水などのアルカリで銅酸化膜を選択的にエッチングすることに特徴がある。また、エッチングを短時間化するために、pH=8〜10に浸して酸化膜を形成した後、通常ならば銅がエッチングされるはずのpH=10〜11に調整したSC1に浸すことにより、さらに厚膜のアンモニア錯体を含んだ銅酸化膜が形成され、これを塩酸、酢酸、希硫酸、シアン化水素酸などの酸化力の弱い酸か、希アンモニア水などのアルカリで銅酸化膜を選択的にエッチングする。また、グリシンやアラニンのような中性アミノ酸の水溶液のように、液性は中性を示しても銅と錯体を作って溶解するようなものでも銅酸化膜を選択的にエッチングすることができる。
このような酸化膜形成及びエッチング処理により、これまで困難であった表面を荒らさない銅のエッチングが可能になり、安全で安価な薬液による酸化及びエッチングが短時間で行え、その結果、配線構造の表面に被覆されるバリアメタル層が安定して形成される。
【0008】
即ち、本発明の半導体装置の製造方法は、pH=8〜10に調整したアンモニア水と過酸化水素水の混合液を銅膜表面に接触させて銅のアンモニア錯体を含む銅の酸化膜を形成する工程と、前記表面に酸化膜を形成した銅膜をpH=10〜11に調整したアンモニア水と過酸化水素水の混合液に曝す工程と、前記銅の酸化膜を選択的に前記銅膜から除去する工程とを備えたことを特徴としている。
また、本発明の半導体装置の製造方法は、過酸化水素水により銅膜表面に酸化膜を形成する工程と、前記酸化膜を形成した銅膜をpH=10〜11に調整したアンモニア水と過酸化水素水の混合液に曝して銅のアンモニア錯体を含む銅の酸化膜を形成する工程と、前記銅の酸化膜を選択的に前記銅膜から除去する工程とを備えたことを特徴としている。前記銅の酸化膜を酸もしくはアルカリにより除去するようにしても良い。最終的にpH=10〜11ni調整したアンモニア水と過酸化水素水の混合液に曝すなら、その途中は多段階に分けて断続的にpHを変化させても良いし、連続的に変化させても良い。
【0009】
本発明の半導体装置の製造方法は、半導体基板上に形成された絶縁膜表面の配線溝又はコンタクト孔もしくは配線溝及びコンタクト孔に配線もしくはコンタクト配線となる銅膜を埋め込む工程と、前記銅酸化膜の形成方法のいずれかにより、前記銅膜表面に銅のアンモニア錯体を含む銅の酸化膜を形成する工程と、前記銅の酸化膜を前記銅膜から選択的に除去する工程を備えたことを特徴としている。前記銅の酸化膜が除去された前記銅膜表面は、前記配線溝もしくはコンタクト孔の側壁に近い領域ほど深くエッチングされているようにしても良い。前記配線溝又はコンタクト孔もしくは配線溝及びコンタクト孔と前記埋め込まれた銅膜との間にはバリアメタル層が介在しているようにしても良い。前記銅の酸化膜を前記銅膜から除去した後、前記銅膜上にバリアメタル層を形成する工程をさらに備えるようにしても良い。前記配線溝又はコンタクト孔もしくは配線溝及びコンタクト孔と前記埋め込まれた銅膜との間に介在している前記バリアメタル層と前記銅膜上に形成されている前記バリアメタル層とは異なる材料から構成されているようにしても良い。前記銅の酸化膜を除去した銅膜表面をアンモニア水にさらす工程をさらに備えるようにしても良い。前記半導体基板を1000rpm以上、1600rpm以下の条件で回転させた状態で前記銅膜表面をアンモニア水に曝すようにしても良い。
【0013】
【発明の実施の形態】
以下、図面を参照して発明の実施の形態を説明する。
本発明は、例えば、半導体装置の多層配線構造におけるピラープロセス、シングルダマシン構造、デュアルダマシン構造に適用される。
(1) ピラープロセスについて
図1は、多層配線が形成された半導体基板の断面図である。このプロセスにより形成されたピラー配線(コンタクト配線ともいう)は、下層配線と上層配線を接続する配線である。図1に示すように、層間絶縁膜に埋め込まれた下層配線を形成する。集積回路などの半導体素子が形成されたシリコンなどの半導体基板10にシリコン酸化膜などからなる層間絶縁膜1が形成されており、この表面に配線溝が形成されている。この配線溝の側壁には、TaN、WN、TiNなどの導電性窒化物などのバリアメタル層2が形成され、銅膜3もしくは銅を主成分とする合金膜がその中に埋め込まれる。このとき、バリアメタル層2は、配線溝の中にのみ存在し、層間絶縁膜1の表面上には形成されていない(図1(a))。バリアメタル層を層間絶縁膜の配線溝を越えてその表面上にまで形成させておくことも可能である。次に、銅膜3の表面をエッチングにより層間絶縁膜1の表面より後退させる(図1(c))。
【0014】
そして、配線溝の上部には、スパッタリングやCVDなどの方法によりバリアメタルを堆積させ、これをCMP法により研磨して配線溝の上部にバリアメタル層4を埋め込む。バリアメタル層4の材料は、バリアメタル層2と同じでも良く、異なっていても良い(図1(d))。次に、タングステンなどのバリアメタル層6、アルミニウム膜7及び必要に応じて導電性のエッチングストッパー8を順次積層し(図2(a))、これらをパターニングしてピラー配線9を形成する(図2(b))。次に、層間絶縁膜1の上にピラー配線9を被覆するようにシリコン酸化膜などの層間絶縁膜11を形成する(図3(a))。この層間絶縁膜11表面をCMP法により研磨してピラー配線9を露出させる。次に、層間絶縁膜11の上に上層の層間絶縁膜12を堆積させてこれに上層配線を埋め込む。上層配線は、配線溝に形成されたバリアメタル層13と、配線溝に埋め込まれた銅膜14と、この銅膜14の表面を被覆するバリアメタル層15から構成されている(図3(b))。このピラー配線9は、下層配線と上層配線を電気的に接続する。この様なピラープロセスにおいて、本発明は、バリアメタル層4、15の形成に適用される。即ち、例えば、バリアメタル層4を形成する方法(図1参照)において、絶縁膜1に埋め込み形成された銅膜3の露出した表面を酸化して銅酸化膜5を形成する(図1(b))。そして、形成された銅酸化膜5をエッチング除去して荒れのない(白濁していない)表面を形成し、この表面にバリアメタル層4を形成する(図1(c))。
【0015】
(2) シングルダマシンについて
図4は、多層配線が形成された半導体基板の断面図である。半導体基板20の上にはシリコン酸化膜などの層間絶縁膜21、25、29が順次積層形成されている。各層間絶縁膜21、25、29には、配線溝、コンタクト孔が形成され、その中に下層配線、コンタクト配線、上層配線がそれぞれ形成されている。いずれも、層間絶縁膜に配線溝もしくはコンタクト孔を形成してから、これらの内部及び層間絶縁膜表面にバリアメタル層を形成し、その上に銅もしくは銅を主成分とする合金膜を堆積させ、これをCMP方法などにより研磨して表面を平坦化し、配線溝もしくはコンタクト孔にバリアメタル層に包まれた銅膜を埋め込む。その後、本発明の方法に従って、銅膜の表面を酸化し、形成された銅酸化膜をエッチング除去して荒れのない(白濁していない)表面を形成し、この表面にバリアメタル層を形成する。すなわち、本発明は、半導体装置の多層配線構造において、バリアメタル層24、28、32の形成に適用される。
【0016】
層間絶縁膜21に埋め込まれる下層配線は、配線溝の側壁に形成されたバリアメタル層22と、配線溝に埋め込まれ、バリアメタル層22に包まれた銅膜23と、銅膜23の表面を被覆するバリアメタル層24から構成されている。下層配線に電気的に接続され、層間絶縁膜25に埋め込まれるコンタクト配線は、配線溝の側壁に形成されたバリアメタル層26と、配線溝に埋め込まれ、バリアメタル層26に包まれた銅膜27と、銅膜27の表面を被覆するバリアメタル層28から構成されている。コンタクト配線に電気的に接続され、層間絶縁膜29に埋め込まれる上層配線は、配線溝の側壁に形成されたバリアメタル層30と、配線溝に埋め込まれ、バリアメタル層30に包まれた銅膜31と、銅膜31の表面を被覆するバリアメタル層32から構成されている。
下層配線の銅膜23の表面にはバリアメタル層24が形成されているので、
配線上部からの銅の拡散を抑制することができる。また、表面が荒れないため、表面散乱などの影響が少なく、実抵抗の上昇が小さい。また、コンタクトを形成した後の電荷の集中が抑制されるため、エレクトロマイグレーションを起こしにくいという効果もある。
【0017】
(3) デュアルダマシンについて
図5は、多層配線が形成された半導体基板の断面図である。半導体基板40の上にはシリコン酸化膜などの層間絶縁膜41、45が順次積層形成されている。各層間絶縁膜41、45には、配線溝、コンタクト孔が形成されその中に下層配線、コンタクト孔及び上層配線がそれぞれ形成されている。いずれも、シングルダマシンの場合と同じように、層間絶縁膜に配線溝もしくはコンタクト孔を形成してから、これらの内部及び層間絶縁膜表面にバリアメタル層を形成し、その上に銅もしくは銅を主成分とする合金膜を堆積させ、これをCMP方法などにより研磨して表面を平坦化し、配線溝もしくはコンタクト孔にバリアメタル層に包まれた銅膜を埋め込む。その後、本発明の方法に従って、銅膜の表面を酸化し、形成された銅酸化膜をエッチング除去して荒れのない(白濁していない)表面を形成し、この表面にバリアメタル層を形成する。すなわち、本発明は、半導体装置の多層配線構造において、バリアメタル層44、48の形成に適用される。
【0018】
層間絶縁膜41に埋め込まれる下層配線は、配線溝の側壁に形成されたバリアメタル層42と、配線溝に埋め込まれ、バリアメタル層42に包まれた銅膜43と、銅膜43の表面を被覆するバリアメタル層44から構成されている。下層配線にコンタクト配線を介して電気的に接続され、層間絶縁膜45に埋め込まれる上層配線は、配線溝とこの溝と連続的に形成されたコンタクト孔の側壁に形成されたバリアメタル層46と、配線溝及びコンタクト孔に埋め込まれ、バリアメタル層46に包まれた銅膜47と、銅膜47の表面を被覆するバリアメタル層48から構成されている。本発明を適用するとシングルダマシンと同じような効果が得られる。
以上、半導体装置の多層配線構造の形成に適用した本発明の実施例を以下に説明する。
【0019】
まず、図6乃至図8を参照して第1の実施例を説明する。
本発明の特徴は、表面を荒らすことなく、銅膜をエッチングする方法を発見したことにある。つまり、銅膜表面にアンモニア錯体を含む酸化膜を形成し、それをエッチング除去する方法である。具体的には、アンモニア水と過酸化水素水の混合液をpH=8〜10もしくは9〜10の間に調整することにより銅をエッチングすることなく表面に比較的厚い酸化膜を形成し、この酸化膜を希塩酸などの酸化力のない酸や希アンモニア水などのアルカリでエッチング除去する方法である。前述の通り、通常のアンモニア水と過酸化水素水との混合液(SC1)は、銅をエッチングし、その時のpHは、10.5〜11程度である。発明者の実験により、pHが10以下の時は表面に酸化膜が形成され、10を超えると銅がエッチングされるという特性があることがわかった。
【0020】
そこで、pHを調整したSC1に1分間浸すことにより表面に酸化膜を形成し、希塩酸で酸化膜を選択的にエッチングした際の銅のエッチング量を図6に示す。図6は、縦軸がエッチング量(nm)を表わし、横軸がpHを表わす。図6に示したように、約18%の過酸化水素水に浸した場合も銅表面に酸化膜が形成されるが、その時のエッチング量は約4nmであった。ところが、アンモニア水を加えてpH=7に中和された時は、ほとんど酸化されなかった。さらにアンモニア水を加え、pHが8を越えるあたりからエッチング量が増加し、pH=10程度では11〜12nmになった。さらに、pHが10を越えると、深青色のアンモニア錯イオンを作って溶解した。なお、図7(a)は、処理前の銅表面、(b)pHが9.5のアンモニア水と過酸化水素水の混合液で1分間酸化した後に塩酸で酸化膜をエッチングした後の銅表面、図8(a)は、pHが10.2のアンモニア水と過酸化水素水の混合液でエッチングされた銅の表面及び参考に図8(b)は、塩酸と過酸化水素水との混合液(80℃)で銅をエッチングした時の表面のそれぞれのSEM像を示す。この図から、pHを調整したアンモニア水と過酸化水素水との混合液を用いることにより銅表面を荒らすことなくエッチングされることがわかる。
【0021】
リソグラフィーの際の位置合わせを確実に行うためには30〜50nmのエッチングが望ましく、エッチング時間もなるべく短いことが望ましい。比較的厚く酸化することが可能だと思われている過酸化水素水のみの処理を行ったとしても50nmエッチングするには12〜13分は処理の時間が必要となる。したがって、pH=8〜10、望ましくは9〜10の液で処理すると良い。とくにpH=10近くの液を用いることにより約4分の処理で50nmのエッチングが可能となる。
しかし、ただ単にpHを調整すれば良いわけではない。すなわち、図6に示したように、例えば、過酸化水素水とKOHの混合や過酸化水素水とコリンの混合によりpHを9〜10.5に調整した液に銅を浸してもほとんど酸化されない。つまり、アンモニアを用いることが重要である。
【0022】
次に、第2の実施例を説明する。
第1の実施例でも述べたように、銅のエッチング量としては、30nm〜50nmが望ましい。ところが、pHを10に調整したSC1に銅を浸しても1分で12nmしかエッチングできない。そこで、この実施例では、さらにエッチング量を増やしながら、表面が荒れることのない方法を説明する。これは、一旦過酸化水素水のみからなる溶液あるいはpH=8〜10のSC1に浸して表面に酸化膜を銅膜に形成し、引き続いてこの銅膜をpH=10〜11のSC1に浸す方法である。pH=10〜11というのは、通常ならばエッチングされる条件であるにもかかわらず、あらかじめ表面に酸化膜を形成しておくことによって、さらに厚い酸化膜が形成される。
例えば、過酸化水素水(35%):アンモニア水(35%):純水=10:3:100の混合比で混合するとpH=10となり、この液に銅膜を30秒間浸した後、続いて組成比を1:1:10(pH=10.5)に変えた溶液に1分30秒間浸して厚い酸化膜を銅膜上に形成し、ついで希塩酸によりアンモニア錯体を含む酸化膜のみをエッチングすると、銅のエッチング量は50nmとなる。これは、第1の実施例の液に処理時間の合計である2分間浸した場合の約2倍のエッチング量となるため処理の短時間化を十分図ることができる。
【0023】
次に、図9及び図10を参照して第3の実施例を説明する。
この実施例では、例えば、図1の埋め込み銅配線や図3乃至図5に示されている下層配線を対象として実際の銅配線のエッチングを行う。pH=10に調整したSC1(過酸化水素水:アンモニア水:純水=10:3:100)に1分間浸した後、20%塩酸を50倍希釈した希塩酸で表面の酸化膜を除去することを3回繰り返して銅を約35〜40nmエッチングした0.25μmのライン&スペース配線の断面図を図9に示す。図9には、半導体基板50の上に形成された層間絶縁膜51が形成されており、この層間絶縁膜51にはバリアメタル層52が側壁に堆積された配線溝54が形成されている。銅膜53は、この配線溝54の中に埋め込まれている。この様な構造の銅配線に対して、上記のように酸化膜の形成及びエッチング処理を行って荒れのない表面を形成する。この後、荒れのない表面上に第2のバリアメタルとしてTaNやWNをスパッタリング法やCVD法などにより堆積させ、CMP処理を行うことによりバリアメタル層55が形成される。
【0024】
図9に示すように、銅膜53をエッチングして形成された荒れのない表面は、配線溝54の側壁に近いほどエッチング量が多く、いわゆる配線の肩が落ちた断面形状になっている。したがって、その上に形成されているバリアメタル層55は、配線溝54の側壁に近いほど膜が厚くなっている。このような形状は、本発明を実施する場合にはメリットになることが多い。すなわち、図10は、このメリットを説明する模式断面図である。図10(a)は、図9に示す配線の肩が落ちた状態の銅配線を示し、図10(b)は、銅配線を被覆するバリアメタル層がほぼ一様に銅配線表面が端部までほぼ平坦に形成された状態の銅配線を示している。上層配線を下層配線に接続するコンタクト配線を形成するために下層配線上に堆積された層間絶縁膜にコンタクト孔(ビア)を形成する必要がある。図に示すように、コンタクト配線を形成するためにコンタクト孔を銅配線上面に形成されたバリアメタル層上に形成すると、コンタクト孔を形成するエッチング領域が合わせズレによって層間絶縁膜に一部入り込むことがある。このような状態で下層配線のバリアメタル層を被覆する層間絶縁膜をエッチングすると、層間絶縁膜(シリコン酸化膜)のエッチングレートは銅膜のエッチングレートより大きいので層間絶縁膜のエッチングが進んで、この部分が大きくエッチングされて、図10(a)、図10(b)に破線で示したように開口する。
【0025】
図10(a)は、深い部分の径がaであり、深さがbである。図10(b)は、深い部分の径がa′であり、深さがb′である。エッチングレートは材料によって決まるので、b′=bである。図10(a)の配線の肩が落ちている場合は、深い部分の径が図10(b)の表面が平坦な場合の径より大きくなっている(a>a′)。つまり、図10(b)の深い部分は、ポケットのようになっており、したがって、この部分のアスペクト比(b′/a′)は、図10(a)の深い部分のアスペクト比(b/a)より著しく高い。したがって、図10(b)のコンタクト孔にバリアメタル層を堆積させること、コンタクト孔に銅を埋め込む方法としてメッキ法を用いる場合にそのシード銅膜を形成することなどが困難であるのに対し、図10(a)のコンタクト孔にバリアメタル層を堆積させ、シード銅膜を堆積させることは容易になし得る。
もちろん、これは、銅に限ったことではなく、半導体装置のあらゆる配線やコンタクトの金属膜にもあてはまるし、金属膜上にバリアメタルが埋め込まれているのではなく、全面にバリア層が形成されている場合もしくは直接層間絶縁膜が堆積されている場合にも適用できる。
【0026】
次に、第4の実施例を説明する。
pH=10に調整したSC1(過酸化水素水:アンモニア水:純粋=10:3:100)に30秒間浸した後、pH=10.5(混合比1:1:10)のSC1に1分30秒浸して表面を酸化した銅配線を、35%アンモニア水を純水で3/10に希釈した希アンモニア水で表面の酸化膜を除去することにより、約50nmのエッチングが可能になる。この後、第3の実施例と同様に、上層に第2のバリアメタル層としてTaNやWNをスパッタリング法により堆積させ、CMP法により研磨する図3に示すような配線構造を形成することができる。
【0027】
次に、図11を参照して第5の実施例を説明する。
この実施例では、本発明を適用した銅配線の形成工程を説明する。図11は、銅配線の形成工程を説明するプロセス図である。図に示すように、銅配線の形成プロセスは、まず、▲1▼層間絶縁膜に配線溝を形成する。次に、▲2▼配線溝の底面及び側面にTaN、WN、TiNなどの導電性窒化物からなるバリアメタル層をスパッタリング、CVDなどにより堆積させる。次に、▲3▼Cuをスパッタリング、メッキ、CVDなどにより配線溝に埋め込むように堆積させる。次に、▲4▼CuのみもしくはCu及びバリアメタル層をCMP法により研磨して層間絶縁膜に埋め込みCu配線を形成する。次に、▲5▼CMP後のウエハ洗浄を行う。その後必要に応じて、▲6▼ベベル・裏面Cuエッチング及び洗浄を行う。そして、最後に、▲7▼本発明のCuのリセス処理を行うというものである。
本発明の薬液は、Cu表面を酸化膜を形成することにより保護しながら研磨することが可能なため、Cu−CMPのスラリーとしても用いることができる。また、通常のCMP後には同一の製造装置もしくは別の装置においてロールスポンジやペンシルスポンジといった物理的な洗浄を行っているが、この際に用いる薬液がアルカリ性の際にはパーティクルの洗浄効果が高いことはよく知られている。本発明の薬液もpHがアルカリ側であるため、CMP後の砥粒(アルミナやシリカなど)残りを除去するための洗浄を行う際に用いても効果がある。
【0028】
また、スパッタリングやCVD法を用いるとウェハのベベル部や裏面にもCuが堆積される。スパッタリング法やCVD法により堆積されたCuをシードとしてメッキ法により堆積させる場合、ベベル部に堆積されたCuは、電極として用いられるが、メッキ後にはベベル部のCuは不要となる。ウェハのベベルや裏面は、半導体装置を製造する際に様々な装置が搬送やチャックするために接触する部分である。そのような部分にCu汚染がある場合には、製造装置を介して他のウェハをも汚染してしまう可能性がある。従って、Cu−CMP後にウェハベベルや裏面についたCuをエッチング・洗浄する必要がある。これは、CMP前に行ってもよいが、CMPの際に再びベベルや裏面がCuで汚染されてしまうため、CMP後の方が望ましい。裏面とベベル部を同時にエッチング洗浄するために、回転式の枚葉装置においてウェハを回転させながら、裏面側から塩酸と過酸化水素水の混合液、硝酸、熱濃硫酸、リン酸といった酸化力のある酸などのCuを溶解することができる薬液を吐出して処理を行うと良い。しかしながら、このような方法でエッチングを行うと、処理後に表面のデバイス部分のCuがウェハエッジ付近のみ酸化されてしまうという問題がある。これは、薬液中から気化したり、エッチング中に発生するHCl、NOx、SOxといったガスがCu表面に残留することによって酸化を促進しているためだと思われる。
【0029】
このような酸化膜を除去するために塩酸や希硫酸といった酸化力の弱い酸で処理を行うが、これにより酸化されたウェハエッジ付近のみCu膜厚が薄くなってしまうという問題がある。これを回避するためにウェハを回転させながら、表面側から純水を流しつつベベル部のみに薬液を吐出するノズルを設けてベベル部を処理し、同時に裏面からも薬液を吐出することにより裏面を処理するという方法が採られる場合もある。しかし、これはハード面からは専用のノズルを設けなければいけないために製造装置の構造が複雑になり装置の価格も高価になるという問題があり、且つプロセス面からも表面から純水を流さなければいけないために薬液を回収・循環してエッチング液として再使用することができず、薬液使用量が増加するという問題がある。
ところが、本発明の方法によりCMP後のCu表面にあらかじめ厚めの酸化膜を形成しておくと、裏面からの薬液処理のみでも上述したような問題が起こらない。従って、Cu配線を形成する場合において、図11のCu−CMP工程(▲4▼)からCuリセス工程(▲7▼)の全工程において同じ薬液を用いることができ、全行程を同一装置内で処理することが可能になる。
【0030】
同じ薬液を用いることができるということは、半導体装置を製造する際に構造が簡略化できるというメリットがあることであり、同一製造装置内で処理を連続的に行うことができるというのは、各工程毎に一々乾燥させる必要がないためスループット向上というメリットがあることである。例えば、図14は、上記同一チャンバー内で行われる半導体製造装置の概略断面図であり、図11に示すプロセスを用いて銅配線の形成工程を説明する。まず、▲1▼層間絶縁膜に配線溝を形成する。次に、▲2▼配線溝の底面及び側面にバリアメタル層を堆積させる。次に、▲3▼Cuをメッキにより配線溝に埋め込むように堆積させる。この工程はCuメッキ槽61で行う。次に、▲4▼CuのみもしくはCu及びバリアメタル層をCMP装置62内でCMP法により研磨して層間絶縁膜に埋め込みCu配線を形成する。この工程は、CMP装置62で行う。次に、▲5▼CMP後のウエハ洗浄をCMP後洗浄装置63内で行う。その後▲6▼ベベル・裏面Cuエッチング及び洗浄を行う。この工程はエッチング槽64で行う。そして、▲7▼Cuのリセス処理をエッチング槽64で行う。これらのCuメッキ槽61、CMP装置62、CMP後洗浄装置63、エッチング槽64及び処理槽65は、1つのチャンバー60に配置されてこの銅配線の形成工程を実施することができる。
【0031】
このチャンバー60では、各内部装置の内、Cuメッキ槽61及びエッチング槽64からの排液を処理槽65に集めて、銅濃度をモニターし、オゾンなどの酸化剤を除き、硫酸濃度の調整を行い、これをメッキ槽61に戻す。このように、本発明に係る半導体製造装置では資源のリサイクルが可能になる。
【0032】
図15の半導体製造装置の概略断面図に示すように、図14の半導体製造装置は、メッキ槽61、エッチング槽64及び処理槽65を備えている。処理槽65は、濃度調整部とメッキ液を調整するメッキ液部とからなり、エッチング槽64からの排液を純水や塩・錯体を形成する成分などを濃度調整部に供給しつつ調整し、調整した液をメッキ液部に供給してメッキ液を形成する。そして、これをメッキ槽(メッキチャンバー)61に供給する。エッチングチャンバー64からの排液がメッキチャンバー61からの使用済みメッキ液に対して少量の場合は、エッチングチャンバー64からの排液のみを調整して、使用済みメッキ液は直接メッキ液部に回収しても良い。
メッキ処理を同一装置内に組み込む際には、用いるメッキ液が硫酸銅水溶液ならば硫酸、シアン化銅水溶液ならばシアン化水素酸といったように、銅や酸化銅をエッチングした後の溶液がメッキ液と同じ成分になるようにすると良い。これは、エッチングの薬液と使用済みのメッキ液の中に含まれる成分がほぼ同じであるために、同時に排液処理を行うことができるというメリットがあるからである。また、エッチング後の薬液を用いて再びメッキを行うことで、非常に銅の利用効率の高いプロセスを作り上げることができる。
【0033】
ただし、希硫酸やシアン化水素酸のように酸化力の弱い酸のみでは、金属銅をエッチングするのは困難である。そこで酸化力を付与するための酸化剤として、反応後もしくは分解後に水や酸素となる過酸化水素やオゾンを用いるのがよい。例えば、メッキ液に10%の硫酸銅水溶液を用いる場合には、10%の硫酸(+過酸化水素もしくはオゾン)で銅もしくは酸化物をエッチングし、エッチング液中の銅濃度をイオン濃度や重量、吸光度などでインラインでモニターして10%を越える程度になるまで回収・循環して使用する。もちろん、メッキ液と異なる濃度の硫酸を使用しても良いし、循環しなくても良い。なお、銅濃度のみを濃くすることは難しいため、銅濃度が硫酸の濃度以上になるようにすることが望ましい。最終的には、エッチング液中の過酸化水素やオゾンを活性炭フィルターやUVランプ照射等により完全に分解し、銅濃度や硫酸濃度をモニターしながら、硫酸や純水の添加を行ったり、加熱処理や逆浸透膜のような半透膜を用いた処理などで濃縮したりすることにより目的の10%の硫酸銅水溶液を作成する。その後メッキに必要な添加剤等を添加してメッキに使用する。この時、使用済みメッキ液も同時に濃度調整などの処理を行っても良いし、濃度を調整した液をメッキ液に少量ずつ添加しても良い。もちろん、濃度モニターや酸化剤除去機構、薬液濃縮機構は上述したものに限らず、何を用いても良い。
このエッチング後の溶液をメッキ液として再利用するプロセス及び装置は銅に限らず、メッキを行うことができるAu、Ag、Tiなどの金属をはじめあらゆる物質に用いることができる。
【0034】
次に、図12を参照して第6の実施例を説明する。
図12は、Cuリセス時のアンモニア処理におけるウェハの配線抵抗のウェハ回転数依存性を説明する特性図である。この実施例では、Cuリセス工程において、回転式の枚葉装置を用い、(1)・NH4 OH:H2 2 :DIW(30:100:1000)、60秒、1000rpm、(2)・NH4 OH:H2 2 :DIW(100:100:100)、60秒、1000rpm、(3)・HCl(30:1000)、5秒、1000rpmの処理を行うと約50nmのCuリセスができる。しかし、塩酸処理で終了したCu表面は酸化が速い。Cu表面が酸化されてしまうと、配線として使用できる実効的なCuが減少し、配線断面積も低下するため配線抵抗が上昇するという問題がある。また、Cu表面と上部バリアメタルとの間にCuの酸化膜が形成されてしまうと、デバイス的に上部のビアとのコンタクト抵抗が上昇したり、容量を有するために遅延の原因になったりする可能性がある。また、プロセス的にも上部バリアメタルを形成する際に、リセス処理後の時間を管理して迅速に上部バリアメタルを堆積しなければいけないとか、CMPの際にハガレが発生する可能性があるといった問題がある。
【0035】
ところが、塩酸処理の後にアンモニア水による処理を行うと、酸化が抑制されることがわかった。しかしながら、アンモニア水は、Cuをエッチングしてしまうため、過度の処理は表面を荒らす原因となる。アンモニア水によるCuエッチングに回転式の枚葉装置を用いると、回転数に依存したエッチング特性を示すことがわかった。次のグラフは、8インチのシリコンウェハ上に幅0.35μmのCu配線(配線抵抗約342mΩ)をウェハ面内19チップに形成した後、Cuを3.5%アンモニア水で10分間のエッチングを行った後の配線抵抗(Ω)をウェハ回転数をパラメータとしてプロットしたものである。配線のCuがエッチングされて断面積が小さくなれば抵抗は上昇する。3×104 mΩというのはCuが完全にエッチングされてしまったことを示している。その時、ウェハ面内でエッチングの均一性が悪いと抵抗上昇分のバラツキが大きくなり、均一性が良いと小さい。1000rpm以上になるとバラツキが小さくなっていき、1475rpm付近で最小値を取り、1600rpmでおよそ1000rpmと同等のバラツキになることがわかる。
【0036】
また、2000rpmでのエッチングも行ったが、この場合には400nmのCuが完全にエッチングされてしまった。表面のみに処理を施したいため、このようにエッチングレートが速い条件では処理することは望ましくない。1600rpmより回転数をあげていくと、エッチングレートも上昇していくことが予想されるため、処理の条件としては1600rpm以下にするのが良い。従って、酸化抑制のためのアンモニア処理は1000rpm以上1600rpm以下の範囲で行うことが望ましい。
そこで、上記リセスプロセス後に実際にアンモニア処理を行ったところ、クリーンルーム雰囲気に処理後24時間保管した後のCu表面は塩酸仕上げのものは茶褐色に酸化されてしまっていたのに対し、アンモニア仕上げのものは処理前と同レベルの金属光沢を有していた。処理条件は、(1)・NH4 OH:H2 2 :DIW(30:100:1000)、60秒、1000rpm、(2)・NH4 OH:H2 2 :DIW(100:100:100)、60秒、1000rpm、(3)・HCl(30:1000)、5秒、1000rpm(4)・NH4 OH:DIW(30:1000)、5秒、1475rpmとした。
【0037】
【発明の効果】
本発明は、以上の構成により、これまで困難であった表面を荒らさない銅のエッチングが可能になり、安全で安価な薬液による酸化及びエッチングが短時間で行え、その結果、配線構造の表面に被覆されるバリアメタル層が安定して形成されるようになる。
【図面の簡単な説明】
【図1】本発明の半導体装置の製造工程を説明する半導体基板の断面図。
【図2】本発明の半導体装置の製造工程を説明する半導体基板の断面図。
【図3】本発明の半導体装置の製造工程を説明する半導体基板の断面図。
【図4】本発明の多層配線が形成された半導体基板の断面図。
【図5】本発明の多層配線が形成された半導体基板の断面図。
【図6】pHを調整したSC1に1分間浸すことにより表面に酸化膜を形成し希塩酸で酸化膜を選択的にエッチングする際の銅エッチング量を示す特性図。
【図7】エッチング前の銅表面と、本発明のエッチング方法で銅をエッチングした時の表面のそれぞれのSEM像を示す図。
【図8】塩酸と過酸化水素水との混合液及びアンモニア水と過酸化水素水との混合液 (pH=10.2)で銅をエッチングした時の表面のそれぞれのSEM像を示す図。
【図9】本発明の層間絶縁膜に形成された配線溝の銅配線の表面形状を説明する半導体基板の断面図。
【図10】本発明の効果を説明する半導体基板の模式断面図。
【図11】本発明の効果を説明する半導体基板の模式断面図。
【図12】Cuリセス時のアンモニア処理におけるウェハの配線抵抗のウェハ回転数依存性を説明する特性図。
【図13】従来の埋め込み配線構造を示す半導体基板の断面図。
【図14】本発明の半導体装置の製造方法を実施する半導体製造装置の概略断面図。
【図15】本発明の半導体装置の製造方法を実施する半導体製造装置のリサイクルを説明する概略断面図。
【符号の説明】
1、11、12、21、25、29、41、45、51、101・・・層間絶縁膜、
2、4、6、13、15、22、24、26、28、30、32、42、46、52、55、102・・・バリアメタル層、
3、7、14、23、27、31、43、47、53、103・・・銅膜、
5・・・銅膜表面に形成された銅酸化膜、 8・・・ストッパー膜、
9・・・ピラー配線(コンタクト配線)、
10、20、40、50、100・・・半導体基板、
60・・・チャンバー、 61・・・Cuメッキ槽、
62・・・CMP装置、 63・・・CMP後洗浄装置、
64・・・エッチング槽、 65・・・処理槽。

Claims (10)

  1. pH=8〜10に調整したアンモニア水と過酸化水素水の混合液を銅膜表面に接触させて銅のアンモニア錯体を含む銅の酸化膜を形成する工程と、前記表面に酸化膜を形成した銅膜をpH=10〜11に調整したアンモニア水と過酸化水素水の混合液に曝す工程と、前記銅の酸化膜を選択的に前記銅膜から除去する工程とを備えたことを特徴とする半導体装置の製造方法
  2. 過酸化水素水により銅膜表面に酸化膜を形成する工程と、前記酸化膜を形成した銅膜をpH=10〜11に調整したアンモニア水と過酸化水素水の混合液に曝して銅のアンモニア錯体を含む銅の酸化膜を形成する工程、前記銅の酸化膜を選択的に前記銅膜から除去する工程とを備えたことを特徴とする半導体装置の製造方法
  3. 前記銅酸化膜を除去する工程が酸もしくはアルカリによることを特徴とする請求項1又は請求項2に記載の半導体装置の製造方法
  4. 半導体基板上の絶縁膜に形成された配線溝又はコンタクト孔に配線もしくはコンタクト配線となる銅膜を埋め込む工程と、請求項1乃至請求項3のいずれかに記載された銅酸化膜の形成方法により、前記銅膜表面に銅のアンモニア錯体を含む銅の酸化膜を形成する工程と、前記銅の酸化膜を前記銅膜から選択的に除去する工程を備えたことを特徴とする半導体装置の製造方法。
  5. 前記銅の酸化膜が除去された前記銅膜表面は、前記配線溝もしくはコンタクト孔の側壁に近い領域ほど深くエッチングされていることを特徴とする請求項4に記載の半導体装置の製造方法。
  6. 前記配線溝又はコンタクト孔もしくは配線溝及びコンタクト孔と前記埋め込まれた銅膜との間にはバリアメタル層が介在していることを特徴とする請求項又は請求項に記載の半導体装置の製造方法。
  7. 前記銅の酸化膜を前記銅膜から除去した後、前記銅膜上にバリアメタル層を形成する工程をさらに備えたことを特徴とする請求項4乃至請求項6のいずれかに記載の半導体装置の製造方法。
  8. 前記配線溝又はコンタクト孔もしくは配線溝及びコンタクト孔と前記埋め込まれた銅膜との間に介在している前記バリアメタル層と前記銅膜上に形成されている前記バリアメタル層とは異なる材料から構成されていることを特徴とする請求項に記載の半導体装置の製造方法。
  9. 前記銅の酸化膜を除去した銅膜表面をアンモニア水にさらす工程をさらに備えたことを特徴とする請求項4乃至請求項8のいずれかに記載の半導体装置の製造方法。
  10. 前記半導体基板を1000rpm以上、1600rpm以下の条件で回転させた状態で前記銅膜表面をアンモニア水にさらすことを特徴とする請求項に記載の半導体装置の製造方法。
JP2000015653A 2000-01-25 2000-01-25 半導体装置の製造方法 Expired - Fee Related JP3907151B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2000015653A JP3907151B2 (ja) 2000-01-25 2000-01-25 半導体装置の製造方法
US09/494,025 US6261953B1 (en) 2000-01-25 2000-01-31 Method of forming a copper oxide film to etch a copper surface evenly
TW089124469A TW543123B (en) 2000-01-25 2000-11-18 Method of forming copper oxide film, method of etching copper film, and method of fabricating semiconductor device
KR10-2001-0003831A KR100426554B1 (ko) 2000-01-25 2001-01-26 동산화막의 형성 방법, 반도체 장치의 제조 방법, 반도체 제조 장치 및 반도체 장치
US09/865,569 US6475909B2 (en) 2000-01-25 2001-05-29 Method of fabricating metal wiring on a semiconductor substrate using ammonia-containing plating and etching solutions
US10/233,582 US6818556B2 (en) 2000-01-25 2002-09-04 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions
TW092102880A TWI225277B (en) 2000-01-25 2003-02-12 Semiconductor device
TW092102879A TW589687B (en) 2000-01-25 2003-02-12 Manufacturing method of semiconductor device and semiconductor manufacturing device
US10/976,758 US7183203B2 (en) 2000-01-25 2004-11-01 Method of plating a metal or metal compound on a semiconductor substrate that includes using the same main component in both plating and etching solutions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000015653A JP3907151B2 (ja) 2000-01-25 2000-01-25 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005316063A Division JP2006080559A (ja) 2005-10-31 2005-10-31 半導体装置の製造方法、半導体製造装置及び半導体装置

Publications (2)

Publication Number Publication Date
JP2001210630A JP2001210630A (ja) 2001-08-03
JP3907151B2 true JP3907151B2 (ja) 2007-04-18

Family

ID=18542910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000015653A Expired - Fee Related JP3907151B2 (ja) 2000-01-25 2000-01-25 半導体装置の製造方法

Country Status (4)

Country Link
US (4) US6261953B1 (ja)
JP (1) JP3907151B2 (ja)
KR (1) KR100426554B1 (ja)
TW (3) TW543123B (ja)

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3575373B2 (ja) * 1999-04-19 2004-10-13 株式会社村田製作所 外力検知センサの製造方法
JP2000311876A (ja) * 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
JP2001077118A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 半導体装置およびその製造方法
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
US7375066B2 (en) * 2000-03-21 2008-05-20 Wako Pure Chemical Industries, Ltd. Semiconductor wafer cleaning agent and cleaning method
US6426289B1 (en) * 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
KR100351933B1 (ko) * 2000-08-28 2002-09-12 삼성전자 주식회사 반도체소자의 콘택 구조체 형성방법
US6432810B1 (en) * 2000-12-06 2002-08-13 Vanguard International Semiconductor Corporation Method of making dual damascene structure
JP2002289559A (ja) * 2001-02-01 2002-10-04 Texas Instr Inc <Ti> 集積回路の製造方法
JP4535629B2 (ja) 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6509266B1 (en) 2001-04-02 2003-01-21 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
JP2002313757A (ja) * 2001-04-17 2002-10-25 Hitachi Ltd 半導体集積回路装置の製造方法
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same
WO2003017359A1 (en) * 2001-08-13 2003-02-27 Ebara Corporation Semiconductor device and production method therefor, and plating solution
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6544891B1 (en) * 2001-09-04 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to eliminate post-CMP copper flake defect
JP2003160877A (ja) * 2001-11-28 2003-06-06 Hitachi Ltd 半導体装置の製造方法および製造装置
JP2003188254A (ja) * 2001-12-18 2003-07-04 Hitachi Ltd 半導体装置の製造方法および半導体装置
US20060234508A1 (en) * 2002-05-17 2006-10-19 Mitsuhiko Shirakashi Substrate processing apparatus and substrate processing method
KR100833451B1 (ko) * 2002-06-25 2008-05-29 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성 방법
US7799200B1 (en) 2002-07-29 2010-09-21 Novellus Systems, Inc. Selective electrochemical accelerator removal
US6791197B1 (en) 2002-08-26 2004-09-14 Integrated Device Technology, Inc. Reducing layer separation and cracking in semiconductor devices
US6934032B1 (en) * 2002-09-30 2005-08-23 Advanced Micro Devices, Inc. Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process
US6670274B1 (en) * 2002-10-01 2003-12-30 Taiwan Semiconductor Manufacturing Company Method of forming a copper damascene structure comprising a recessed copper-oxide-free initial copper structure
DE10257681B4 (de) * 2002-12-10 2008-11-13 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, die eine Metallnitridschicht enthält, und integrierte Schaltungsanordnung
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
JP4267331B2 (ja) * 2003-01-14 2009-05-27 株式会社荏原製作所 基板の処理方法及びエッチング液
JP2004247337A (ja) * 2003-02-10 2004-09-02 Toshiba Corp 半導体装置及びその製造方法
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7247939B2 (en) * 2003-04-01 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filled semiconductor features with improved structural stability
US6995089B2 (en) * 2003-05-08 2006-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method to remove copper without pattern density effect
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US6903011B2 (en) * 2003-06-05 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Displacement method to grow cu overburden
US7056648B2 (en) * 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
US7972970B2 (en) * 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8530359B2 (en) 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US8372757B2 (en) * 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
FR2861499A1 (fr) * 2003-10-27 2005-04-29 St Microelectronics Sa Procede de traitement des surfaces de cuivre.
JP2005158800A (ja) * 2003-11-20 2005-06-16 Sharp Corp 半導体装置の製造方法及びその製造方法により製造された半導体装置
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
JP2005235860A (ja) 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2005340366A (ja) * 2004-05-25 2005-12-08 Toshiba Corp 磁気記憶装置およびその製造方法
JP2005347511A (ja) * 2004-06-03 2005-12-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2006060166A (ja) * 2004-08-24 2006-03-02 Matsushita Electric Ind Co Ltd 電子デバイス及びその製造方法
US7166543B2 (en) * 2004-08-30 2007-01-23 Micron Technology, Inc. Methods for forming an enriched metal oxide surface for use in a semiconductor device
US7157795B1 (en) * 2004-09-07 2007-01-02 Advanced Micro Devices, Inc. Composite tantalum nitride/tantalum copper capping layer
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7598181B2 (en) * 2005-07-19 2009-10-06 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US7582561B2 (en) * 2005-09-01 2009-09-01 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
JP5076482B2 (ja) * 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4810306B2 (ja) * 2006-05-16 2011-11-09 日本電気株式会社 銅ダマシン多層配線の形成方法
US8193087B2 (en) 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
KR100799133B1 (ko) * 2006-08-21 2008-01-29 주식회사 하이닉스반도체 반도체소자의 리세스게이트 제조 방법
US20080041813A1 (en) * 2006-08-21 2008-02-21 Atmel Corporation Methods and compositions for wet etching
CN101529556B (zh) * 2006-08-30 2012-05-30 朗姆研究公司 用于处理衬底的组合体系结构
JP4714659B2 (ja) * 2006-10-16 2011-06-29 パナソニック株式会社 半導体装置の製造方法
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
US20080286177A1 (en) * 2007-05-18 2008-11-20 Tribute Creations, Llc Reactor with differentially distributed catalytic activity
EP2234119A4 (en) * 2007-12-18 2015-04-15 Hitachi Chemical Co Ltd COPPER CONDUCTIVE FILM AND MANUFACTURING METHOD THEREFOR, CONDUCTIVE SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, COPPER CONDUCTIVE THREAD AND METHOD FOR MANUFACTURING THE SAME, AND PROCESSING SOLUTION THEREOF
US8153523B2 (en) * 2008-09-12 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of etching a layer of a semiconductor device using an etchant layer
EP2345069B1 (en) * 2008-10-27 2016-02-17 Nxp B.V. Method of manufacturing a biocompatible electrode
KR20120080595A (ko) * 2009-09-02 2012-07-17 노벨러스 시스템즈, 인코포레이티드 감소된 등방성 에칭제 물질 소비 및 폐기물 발생
CN102543835B (zh) * 2010-12-15 2015-05-13 中国科学院微电子研究所 开口的填充方法
KR101177664B1 (ko) * 2011-05-11 2012-08-27 삼성전기주식회사 인쇄회로기판의 제조방법
CN102956450B (zh) * 2011-08-16 2015-03-11 中芯国际集成电路制造(北京)有限公司 一种制作半导体器件的方法
JP5764445B2 (ja) 2011-09-21 2015-08-19 東京エレクトロン株式会社 半導体装置の製造方法
US8431482B1 (en) * 2012-01-31 2013-04-30 GlobalFoundries, Inc. Integrated circuits and methods for processing integrated circuits with embedded features
US8697565B2 (en) * 2012-03-30 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow via formation by oxidation
JP2013222760A (ja) * 2012-04-13 2013-10-28 Panasonic Liquid Crystal Display Co Ltd 銅配線形成方法、表示装置の製造方法
US8975531B2 (en) * 2013-01-22 2015-03-10 International Business Machines Corporation Composite copper wire interconnect structures and methods of forming
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
JP6128941B2 (ja) * 2013-05-10 2017-05-17 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び半導体製造装置
RU2548547C1 (ru) * 2014-02-11 2015-04-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Чувашский государственный университет имени И.И. Ульянова" Способ очистки металлических поверхностей от медных отложений
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
CN104797085B (zh) * 2015-04-23 2018-01-16 广州杰赛科技股份有限公司 电路板埋铜块盲槽制作方法
US9865538B2 (en) * 2016-03-09 2018-01-09 International Business Machines Corporation Metallic blocking layer for reliable interconnects and contacts
US10109524B2 (en) * 2017-01-24 2018-10-23 Globalfoundries Inc. Recessing of liner and conductor for via formation
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
JP7034645B2 (ja) * 2017-09-22 2022-03-14 株式会社Screenホールディングス 基板処理方法および基板処理装置
US11374117B2 (en) 2018-03-01 2022-06-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP7385562B2 (ja) * 2018-05-31 2023-11-22 株式会社カネカ パターン印刷用レジスト組成物及びそれを用いた回路パターンの製造方法
JP7409602B2 (ja) * 2019-05-09 2024-01-09 ナミックス株式会社 複合銅部材
US11322402B2 (en) * 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US11139201B2 (en) * 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
WO2022080288A1 (ja) 2020-10-16 2022-04-21 セントラル硝子株式会社 ウェットエッチング方法
CN113061881A (zh) * 2021-03-18 2021-07-02 鑫巨(深圳)半导体科技有限公司 一种电解镀铜的铜处理装置及方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3784669A (en) * 1972-10-03 1974-01-08 Us Interior Recovery of metal values from chrome etching solutions
JPS5817425B2 (ja) 1979-03-12 1983-04-07 三宝伸銅工業株式会社 銅基合金のエツチング方法
US4428773A (en) * 1982-12-30 1984-01-31 Western Electric Company, Inc. Process for treating spent fluids to recover copper and copper oxide
US4452643A (en) * 1983-01-12 1984-06-05 Halliburton Company Method of removing copper and copper oxide from a ferrous metal surface
US4993148A (en) * 1987-05-19 1991-02-19 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a circuit board
US4984855A (en) * 1987-11-10 1991-01-15 Anritsu Corporation Ultra-black film and method of manufacturing the same
JP2518391B2 (ja) 1989-05-22 1996-07-24 日本電気株式会社 半導体装置の銅配線形成方法
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
JPH04320088A (ja) * 1991-04-18 1992-11-10 Cmk Corp プリント配線板の製造方法
KR960002763B1 (ko) * 1992-12-24 1996-02-26 금성일렉트론주식회사 반도체 세정방법 및 세정용액
US5409567A (en) * 1994-04-28 1995-04-25 Motorola, Inc. Method of etching copper layers
JPH0812327A (ja) * 1994-07-04 1996-01-16 Nippon Chem Ind Co Ltd 酸化第二銅の製造法
JP3237410B2 (ja) * 1994-08-29 2001-12-10 松下電工株式会社 内層用配線板の銅回路の処理方法
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
EP0859407A3 (en) 1997-02-13 1998-10-07 Texas Instruments Incorporated Method of fabrication of a copper containing structure in a semiconductor device
JP3724110B2 (ja) * 1997-04-24 2005-12-07 三菱電機株式会社 半導体装置の製造方法
US6194032B1 (en) * 1997-10-03 2001-02-27 Massachusetts Institute Of Technology Selective substrate metallization
JPH11204523A (ja) 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
DE69929967T2 (de) * 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6124204A (en) * 1998-05-21 2000-09-26 United Silicon Incorporated Method of removing copper oxide within via hole
JP3629150B2 (ja) * 1998-08-11 2005-03-16 株式会社東芝 メッキ膜の形成方法及び形成装置
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法

Also Published As

Publication number Publication date
TW200300988A (en) 2003-06-16
US6475909B2 (en) 2002-11-05
TWI225277B (en) 2004-12-11
JP2001210630A (ja) 2001-08-03
KR100426554B1 (ko) 2004-04-08
US20030001271A1 (en) 2003-01-02
US6261953B1 (en) 2001-07-17
US20050064700A1 (en) 2005-03-24
TW589687B (en) 2004-06-01
TW200300989A (en) 2003-06-16
KR20010074557A (ko) 2001-08-04
US6818556B2 (en) 2004-11-16
TW543123B (en) 2003-07-21
US20010034125A1 (en) 2001-10-25
US7183203B2 (en) 2007-02-27

Similar Documents

Publication Publication Date Title
JP3907151B2 (ja) 半導体装置の製造方法
US8415261B1 (en) Capping before barrier-removal IC fabrication method
TW531892B (en) Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device
TW557575B (en) Fabrication method of semiconductor integrated circuit device
KR100419272B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
JP2012191226A (ja) 銅結線のシード層の処理方法および処理装置
TW200536052A (en) Process for removing organic materials during formation of a metal interconnect
US20040121583A1 (en) Method for forming capping barrier layer over copper feature
TW200408499A (en) Method for manufacturing a semiconductor device
WO2006112202A1 (ja) 半導体装置及びその製造方法
US6605536B2 (en) Treatment of low-k dielectric films to enable patterning of deep submicron features
JP4864949B2 (ja) 半導体装置の製造方法及び半導体製造装置
US8652966B2 (en) Semiconductor device manufacturing method and semiconductor device
US7267127B2 (en) Method for manufacturing electronic device
JP2006080559A (ja) 半導体装置の製造方法、半導体製造装置及び半導体装置
JP2007258274A (ja) 基板処理方法、及び基板処理装置
US20060063388A1 (en) Method for using a water vapor treatment to reduce surface charge after metal etching
JP4583678B2 (ja) 半導体装置の製造方法及び半導体装置用洗浄液
JP4757372B2 (ja) 埋込配線層の形成方法
JP4205489B2 (ja) 半導体装置の製造方法
JP3680063B2 (ja) 半導体装置の製造方法
JP2004363516A (ja) 埋め込み配線の形成方法
KR20030009543A (ko) 반도체 디바이스 및 반도체 디바이스 제조방법
JP2004319917A (ja) 絶縁膜除去方法および配線構造形成方法
JP2010177365A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040831

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061011

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070115

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110126

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120126

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130126

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees